Index of /mirror/alpinelinux.org/edge/testing/armv7
Name
Last modified
Size
Parent Directory
-
zydis-doc-4.1.0-r0.apk
2024-04-06 00:35
1.6M
zydis-dev-4.1.0-r0.apk
2024-04-06 00:35
61K
zydis-4.1.0-r0.apk
2024-04-06 00:35
203K
zycore-doc-1.5.0-r0.apk
2024-04-06 00:35
394K
zycore-dev-1.5.0-r0.apk
2024-04-06 00:35
38K
zycore-1.5.0-r0.apk
2024-04-06 00:35
18K
zutty-0.14-r0.apk
2023-10-27 07:37
133K
zsh-manydots-magic-0_git20230607-r1.apk
2023-08-12 01:56
2.8K
zsh-history-search-multi-word-doc-0_git20230705-r0.apk
2024-05-10 15:37
18K
zsh-history-search-multi-word-0_git20230705-r0.apk
2024-05-10 15:37
12K
zsh-histdb-skim-0.8.6-r0.apk
2023-05-29 22:34
670K
zsh-fzf-tab-0_git20220331-r0.apk
2022-05-27 18:35
16K
zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk
2023-12-17 13:10
7.0K
zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk
2023-12-17 13:10
75K
zrepl-zsh-completion-0.6.1-r4.apk
2024-05-19 02:23
1.9K
zrepl-openrc-0.6.1-r4.apk
2024-05-19 02:23
1.7K
zrepl-bash-completion-0.6.1-r4.apk
2024-05-19 02:23
4.5K
zrepl-0.6.1-r4.apk
2024-05-19 02:23
6.1M
znc-push-0_git20220823-r7.apk
2023-11-09 20:08
82K
znc-playback-0_git20210503-r7.apk
2023-11-09 20:08
17K
znc-clientbuffer-1.0.48-r7.apk
2023-11-09 20:08
16K
znc-backlog-0_git20210503-r7.apk
2023-11-09 20:08
19K
zita-resampler-doc-1.10.1-r0.apk
2023-03-18 17:58
4.1K
zita-resampler-dev-1.10.1-r0.apk
2023-03-18 17:58
3.4K
zita-resampler-1.10.1-r0.apk
2023-03-18 17:58
16K
zita-njbridge-doc-0.4.8-r1.apk
2022-10-25 01:24
5.2K
zita-njbridge-0.4.8-r1.apk
2022-10-25 01:24
24K
zile-doc-2.6.2-r0.apk
2022-09-20 01:54
16K
zile-2.6.2-r0.apk
2022-09-20 01:54
99K
zfsbootmenu-doc-2.3.0-r1.apk
2024-05-08 00:00
16K
zfsbootmenu-2.3.0-r1.apk
2024-05-08 00:00
128K
zfs-src-2.2.4-r0.apk
2024-05-20 11:25
33M
zarchive-libs-0.1.2-r2.apk
2023-08-07 22:57
20K
zarchive-dev-0.1.2-r2.apk
2023-08-07 22:57
6.7K
zarchive-0.1.2-r2.apk
2023-08-07 22:57
14K
zapret-openrc-0.0.0_git20220125-r0.apk
2022-02-02 12:47
1.9K
zapret-doc-0.0.0_git20220125-r0.apk
2022-02-02 12:47
98K
zapret-0.0.0_git20220125-r0.apk
2022-02-02 12:47
70K
zafiro-icon-theme-1.3-r0.apk
2023-02-05 03:30
19M
z-doc-1.12-r0.apk
2023-12-10 23:36
3.9K
z-1.12-r0.apk
2023-12-10 23:36
4.6K
yubikey-agent-0.1.6-r5.apk
2024-05-19 02:22
1.6M
ytmdl-zsh-completion-2024.04.14-r0.apk
2024-05-13 10:06
2.1K
ytmdl-pyc-2024.04.14-r0.apk
2024-05-13 10:06
79K
ytmdl-bash-completion-2024.04.14-r0.apk
2024-05-13 10:06
2.3K
ytmdl-2024.04.14-r0.apk
2024-05-13 10:06
49K
youtube-viewer-gtk-3.11.1-r0.apk
2024-03-13 10:22
171K
youtube-viewer-doc-3.11.1-r0.apk
2024-03-13 10:22
41K
youtube-viewer-3.11.1-r0.apk
2024-03-13 10:22
83K
youtube-tui-0.8.0-r0.apk
2023-10-26 15:55
1.2M
yosys-dev-0.36-r3.apk
2024-04-22 17:52
102K
yosys-0.36-r3.apk
2024-04-22 17:52
17M
yoshimi-doc-2.3.2-r0.apk
2024-04-18 15:54
4.5M
yoshimi-2.3.2-r0.apk
2024-04-18 15:54
5.5M
yodl-doc-4.02.00-r1.apk
2022-10-25 01:24
59K
yodl-4.02.00-r1.apk
2022-10-25 01:24
124K
yices2-libs-2.6.4-r0.apk
2023-02-10 06:17
674K
yices2-dev-2.6.4-r0.apk
2023-02-10 06:17
41K
yices2-2.6.4-r0.apk
2023-02-10 06:17
1.8M
ydcv-zsh-completion-0.7-r7.apk
2024-04-16 02:00
2.2K
ydcv-pyc-0.7-r7.apk
2024-04-16 02:00
11K
ydcv-0.7-r7.apk
2024-04-16 02:00
7.7K
yazi-zsh-completion-0.2.5-r0.apk
2024-04-29 00:23
2.1K
yazi-fish-completion-0.2.5-r0.apk
2024-04-29 00:23
1.8K
yazi-doc-0.2.5-r0.apk
2024-04-29 00:23
2.3K
yazi-cli-0.2.5-r0.apk
2024-04-29 00:23
337K
yazi-bash-completion-0.2.5-r0.apk
2024-04-29 00:23
2.1K
yazi-0.2.5-r0.apk
2024-04-29 00:23
3.8M
yaru-theme-viridian-23.10.0-r0.apk
2024-04-18 04:06
770K
yaru-theme-sage-23.10.0-r0.apk
2024-04-18 04:06
773K
yaru-theme-red-23.10.0-r0.apk
2024-04-18 04:06
770K
yaru-theme-purple-23.10.0-r0.apk
2024-04-18 04:06
767K
yaru-theme-prussiangreen-23.10.0-r0.apk
2024-04-18 04:06
769K
yaru-theme-olive-23.10.0-r0.apk
2024-04-18 04:06
769K
yaru-theme-mate-23.10.0-r0.apk
2024-04-18 04:06
736K
yaru-theme-magenta-23.10.0-r0.apk
2024-04-18 04:06
772K
yaru-theme-hdpi-23.10.0-r0.apk
2024-04-18 04:06
78K
yaru-theme-blue-23.10.0-r0.apk
2024-04-18 04:06
776K
yaru-theme-bark-23.10.0-r0.apk
2024-04-18 04:06
773K
yaru-theme-23.10.0-r0.apk
2024-04-18 04:06
856K
yaru-sounds-23.10.0-r0.apk
2024-04-18 04:06
676K
yaru-shell-23.10.0-r0.apk
2024-04-18 04:06
746K
yaru-schemas-23.10.0-r0.apk
2024-04-18 04:06
1.8K
yaru-icon-theme-viridian-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-sage-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-red-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-purple-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-prussiangreen-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-olive-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-mate-23.10.0-r0.apk
2024-04-18 04:06
1.2M
yaru-icon-theme-magenta-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-blue-23.10.0-r0.apk
2024-04-18 04:06
1.1M
yaru-icon-theme-bark-23.10.0-r0.apk
2024-04-18 04:06
1.0M
yaru-icon-theme-23.10.0-r0.apk
2024-04-18 04:06
35M
yaru-common-23.10.0-r0.apk
2024-04-18 04:06
4.6K
yamkix-pyc-0.10.0-r1.apk
2024-04-16 02:00
12K
yamkix-0.10.0-r1.apk
2024-04-16 02:00
14K
xwaylandvideobridge-lang-0.4.0-r1.apk
2024-03-11 07:24
11K
xwaylandvideobridge-0.4.0-r1.apk
2024-03-11 07:24
43K
xvkbd-doc-4.1-r2.apk
2022-10-25 01:24
11K
xvkbd-4.1-r2.apk
2022-10-25 01:24
291K
xvidtune-doc-1.0.4-r0.apk
2023-02-05 01:37
4.2K
xvidtune-1.0.4-r0.apk
2023-02-05 01:37
15K
xva-img-1.4.2-r1.apk
2022-08-04 08:29
12K
xtl-0.7.7-r0.apk
2024-01-12 18:58
111K
xtitle-0.4.4-r1.apk
2022-10-25 01:24
6.0K
xtensor-0.24.7-r0.apk
2024-01-12 18:58
270K
xsoldier-doc-1.8-r1.apk
2022-10-25 01:24
2.7K
xsoldier-1.8-r1.apk
2022-10-25 01:24
65K
xsecurelock-doc-1.9.0-r1.apk
2024-04-12 01:35
18K
xsecurelock-1.9.0-r1.apk
2024-04-12 01:35
58K
xsane-lang-0.999-r1.apk
2022-12-16 08:40
440K
xsane-doc-0.999-r1.apk
2022-12-16 08:40
4.3K
xsane-0.999-r1.apk
2022-12-16 08:40
1.5M
xosview-doc-1.24-r0.apk
2024-03-25 16:44
12K
xosview-1.24-r0.apk
2024-03-25 16:44
105K
xonsh-pyc-0.16.0-r0.apk
2024-05-06 23:25
1.0M
xonsh-0.16.0-r0.apk
2024-05-06 23:25
578K
xone-src-0.3_git20230517-r0.apk
2023-07-26 08:23
43K
xob-doc-0.3-r0.apk
2023-02-12 01:20
5.9K
xob-0.3-r0.apk
2023-02-12 01:20
8.6K
xmppipe-0.16.0-r1.apk
2024-02-01 22:08
15K
xmpp-dns-0.2.4-r17.apk
2024-05-19 02:22
1.6M
xmp-doc-4.2.0-r0.apk
2023-08-21 00:07
5.3K
xmp-4.2.0-r0.apk
2023-08-21 00:07
20K
xml2rfc-pyc-3.21.0-r0.apk
2024-04-17 12:31
407K
xml2rfc-3.21.0-r0.apk
2024-04-17 12:31
353K
xmag-doc-1.0.7-r1.apk
2023-08-01 16:54
4.7K
xmag-1.0.7-r1.apk
2023-08-01 16:54
14K
xload-doc-1.1.4-r0.apk
2022-05-12 11:06
3.2K
xload-1.1.4-r0.apk
2022-05-12 11:06
6.0K
xlhtml-doc-0.5.1-r0.apk
2024-03-30 18:37
2.5K
xlhtml-0.5.1-r0.apk
2024-03-30 18:37
9.8K
xkb-switch-doc-1.8.5-r0.apk
2021-12-11 19:01
1.9K
xkb-switch-1.8.5-r0.apk
2021-12-11 19:01
16K
xisxwayland-doc-2-r1.apk
2023-07-29 21:37
2.0K
xisxwayland-2-r1.apk
2023-07-29 21:37
3.8K
xiccd-doc-0.3.0_git20211219-r1.apk
2022-06-16 18:06
3.3K
xiccd-0.3.0_git20211219-r1.apk
2022-06-16 18:06
14K
xgalaga-doc-2.1.1.0-r1.apk
2022-10-25 01:24
2.5K
xgalaga-2.1.1.0-r1.apk
2022-10-25 01:24
269K
xfe-xfw-1.46.1-r0.apk
2024-03-11 07:24
259K
xfe-xfp-1.46.1-r0.apk
2024-03-11 07:24
222K
xfe-xfi-1.46.1-r0.apk
2024-03-11 07:24
245K
xfe-lang-1.46.1-r0.apk
2024-03-11 07:24
534K
xfe-doc-1.46.1-r0.apk
2024-03-11 07:24
4.0K
xfe-1.46.1-r0.apk
2024-03-11 07:24
1.3M
xfd-doc-1.1.4-r0.apk
2022-12-04 10:07
4.9K
xfd-1.1.4-r0.apk
2022-12-04 10:07
12K
xfce4-verve-plugin-lang-2.0.3-r0.apk
2023-08-07 11:01
40K
xfce4-verve-plugin-2.0.3-r0.apk
2023-08-07 11:01
17K
xfce4-timer-plugin-lang-1.7.2-r0.apk
2023-04-18 21:04
49K
xfce4-timer-plugin-1.7.2-r0.apk
2023-04-18 21:04
24K
xfce4-systemload-plugin-lang-1.3.2-r0.apk
2023-05-02 17:14
39K
xfce4-systemload-plugin-1.3.2-r0.apk
2023-05-02 17:14
29K
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk
2023-08-03 17:34
21K
xfce4-smartbookmark-plugin-0.5.2-r0.apk
2023-08-03 17:34
9.1K
xfce4-places-plugin-lang-1.8.3-r0.apk
2022-12-16 11:10
54K
xfce4-places-plugin-1.8.3-r0.apk
2022-12-16 11:10
25K
xfce4-panel-profiles-lang-1.0.14-r1.apk
2023-12-11 09:36
44K
xfce4-panel-profiles-doc-1.0.14-r1.apk
2023-12-11 09:36
19K
xfce4-panel-profiles-1.0.14-r1.apk
2023-12-11 09:36
57K
xfce4-netload-plugin-lang-1.4.1-r0.apk
2023-08-04 17:19
44K
xfce4-netload-plugin-1.4.1-r0.apk
2023-08-04 17:19
25K
xfce4-mpc-plugin-lang-0.5.3-r0.apk
2023-08-02 09:59
38K
xfce4-mpc-plugin-0.5.3-r0.apk
2023-08-02 09:59
18K
xfce4-mixer-lang-4.18.1-r2.apk
2023-10-31 12:13
59K
xfce4-mixer-doc-4.18.1-r2.apk
2023-10-31 12:13
2.5K
xfce4-mixer-4.18.1-r2.apk
2023-10-31 12:13
78K
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk
2023-10-31 12:13
149K
xfce4-mailwatch-plugin-1.3.1-r1.apk
2023-10-31 12:13
51K
xfce4-hamster-plugin-lang-1.17-r0.apk
2022-02-22 09:20
5.1K
xfce4-hamster-plugin-1.17-r0.apk
2022-02-22 09:20
30K
xfce4-fsguard-plugin-lang-1.1.3-r0.apk
2023-08-03 09:35
31K
xfce4-fsguard-plugin-1.1.3-r0.apk
2023-08-03 09:35
52K
xfce4-docklike-plugin-lang-0.4.2-r0.apk
2023-12-26 23:59
36K
xfce4-docklike-plugin-0.4.2-r0.apk
2023-12-26 23:59
66K
xfce4-diskperf-plugin-lang-2.7.0-r0.apk
2023-08-03 06:58
50K
xfce4-diskperf-plugin-2.7.0-r0.apk
2023-08-03 06:58
16K
xfce4-calculator-plugin-lang-0.7.2-r0.apk
2023-04-19 19:45
22K
xfce4-calculator-plugin-0.7.2-r0.apk
2023-04-19 19:45
62K
xendmail-doc-0.4.3-r0.apk
2024-03-26 12:53
2.5K
xendmail-0.4.3-r0.apk
2024-03-26 12:53
779K
xed-python-3.4.5-r0.apk
2024-01-07 23:11
24K
xed-lang-3.4.5-r0.apk
2024-01-07 23:11
2.0M
xed-doc-3.4.5-r0.apk
2024-01-07 23:11
1.0M
xed-dev-3.4.5-r0.apk
2024-01-07 23:11
14K
xed-3.4.5-r0.apk
2024-01-07 23:11
1.0M
xdg-user-dirs-gtk-lang-0.11-r2.apk
2023-10-31 12:13
50K
xdg-user-dirs-gtk-0.11-r2.apk
2023-10-31 12:13
14K
xdg-ninja-0.2.0.2-r0.apk
2024-02-05 07:11
70K
xcur2png-doc-0.7.1-r0.apk
2024-04-23 10:01
2.9K
xcur2png-0.7.1-r0.apk
2024-04-23 10:01
8.2K
xcompmgr-doc-1.1.9-r0.apk
2022-11-12 21:48
2.6K
xcompmgr-1.1.9-r0.apk
2022-11-12 21:48
14K
xcape-doc-1.2-r0.apk
2021-11-29 22:04
2.8K
xcape-1.2-r0.apk
2021-11-29 22:04
5.9K
xcaddy-0.4.2-r0.apk
2024-05-30 06:25
1.4M
xboard-lang-4.9.1-r2.apk
2023-08-01 16:54
226K
xboard-doc-4.9.1-r2.apk
2023-08-01 16:54
170K
xboard-4.9.1-r2.apk
2023-08-01 16:54
758K
xandikos-pyc-0.2.11-r1.apk
2024-04-16 02:00
188K
xandikos-openrc-0.2.11-r1.apk
2024-04-16 02:00
2.2K
xandikos-doc-0.2.11-r1.apk
2024-04-16 02:00
2.3K
xandikos-0.2.11-r1.apk
2024-04-16 02:00
92K
xa-doc-2.3.14-r0.apk
2023-03-18 13:51
15K
xa-2.3.14-r0.apk
2023-03-18 13:51
46K
x11docker-doc-7.6.0-r1.apk
2023-12-19 15:36
9.4K
x11docker-7.6.0-r1.apk
2023-12-19 15:36
113K
wtfutil-0.43.0-r6.apk
2024-05-19 02:22
19M
wsmancli-doc-2.6.2-r0.apk
2023-01-15 01:04
3.7K
wsmancli-2.6.2-r0.apk
2023-01-15 01:04
19K
wshowkeys-1.0-r0.apk
2022-02-20 17:32
12K
wroomd-openrc-0.1.0-r0.apk
2023-10-06 07:48
1.7K
wroomd-0.1.0-r0.apk
2023-10-06 07:48
898K
wput-doc-0.6.2-r4.apk
2022-10-14 16:53
8.2K
wput-0.6.2-r4.apk
2022-10-14 16:53
35K
wpaperd-zsh-completion-0.3.0-r2.apk
2023-07-02 19:38
2.0K
wpaperd-fish-completion-0.3.0-r2.apk
2023-07-02 19:38
1.8K
wpaperd-doc-0.3.0-r2.apk
2023-07-02 19:38
3.1K
wpaperd-bash-completion-0.3.0-r2.apk
2023-07-02 19:38
1.9K
wpaperd-0.3.0-r2.apk
2023-07-02 19:38
870K
wpa_actiond-openrc-1.4-r7.apk
2022-10-25 01:24
2.2K
wpa_actiond-1.4-r7.apk
2022-10-25 01:24
8.7K
wordgrinder-doc-0.8-r1.apk
2022-10-25 01:24
18K
wordgrinder-0.8-r1.apk
2022-10-25 01:24
424K
woodpecker-openrc-2.5.0-r0.apk
2024-06-02 16:54
1.9K
woodpecker-doc-2.5.0-r0.apk
2024-06-02 16:54
5.5K
woodpecker-2.5.0-r0.apk
2024-06-02 16:54
39M
wolfssh-dev-1.4.17-r0.apk
2024-04-02 02:08
162K
wolfssh-1.4.17-r0.apk
2024-04-02 02:08
120K
wol-lang-0.7.1-r2.apk
2023-08-17 22:38
8.2K
wol-doc-0.7.1-r2.apk
2023-08-17 22:38
5.5K
wol-0.7.1-r2.apk
2023-08-17 22:38
22K
wok-pyc-3.0.0-r6.apk
2024-04-16 02:00
119K
wok-lang-3.0.0-r6.apk
2024-04-16 02:00
16K
wok-doc-3.0.0-r6.apk
2024-04-16 02:00
3.7K
wok-3.0.0-r6.apk
2024-04-16 02:00
157K
wmutils-doc-1.7-r1.apk
2023-10-14 23:17
8.6K
wmutils-1.7-r1.apk
2023-10-14 23:17
20K
wmi-client-1.3.16-r4.apk
2022-10-25 01:24
2.1M
wmctrl-doc-1.07-r1.apk
2022-02-18 15:44
5.1K
wmctrl-1.07-r1.apk
2022-02-18 15:44
13K
wlvncc-0.0.0_git20230105-r0.apk
2023-02-28 06:15
59K
wlroots0.15-dev-0.15.1-r6.apk
2023-07-03 18:35
70K
wlroots0.15-dbg-0.15.1-r6.apk
2023-07-03 18:35
1.2M
wlroots0.15-0.15.1-r6.apk
2023-07-03 18:35
244K
wlroots0.12-dev-0.12.0-r1.apk
2022-09-02 20:54
61K
wlroots0.12-dbg-0.12.0-r1.apk
2022-09-02 20:54
1.0M
wlroots0.12-0.12.0-r1.apk
2022-09-02 20:54
195K
wlrobs-1.0-r4.apk
2023-01-08 07:14
17K
wlopm-doc-0.1.0-r0.apk
2022-06-28 17:08
2.0K
wlopm-0.1.0-r0.apk
2022-06-28 17:08
5.7K
wlclock-doc-1.0.1-r0.apk
2021-12-31 01:27
3.3K
wlclock-1.0.1-r0.apk
2021-12-31 01:27
14K
wlavu-0_git20201101-r1.apk
2022-10-25 01:24
11K
wl-gammarelay-0.1.1-r7.apk
2024-05-19 02:22
1.4M
wl-clipboard-x11-doc-5-r3.apk
2022-10-25 01:24
2.9K
wl-clipboard-x11-5-r3.apk
2022-10-25 01:24
3.4K
wk-adblock-doc-0.0.4-r5.apk
2023-05-24 07:50
2.1K
wk-adblock-0.0.4-r5.apk
2023-05-24 07:50
146K
witchery-0.0.3-r2.apk
2022-07-26 08:47
3.2K
wiringx-dev-0_git20240317-r1.apk
2024-03-24 15:33
66K
wiringx-0_git20240317-r1.apk
2024-03-24 15:33
48K
wiremapper-0.10.0-r0.apk
2022-04-26 22:40
22K
wireguard-go-doc-0.0.20230223-r5.apk
2024-05-19 02:22
3.6K
wireguard-go-0.0.20230223-r5.apk
2024-05-19 02:22
1.0M
wiki-tui-doc-0.8.2-r0.apk
2023-08-12 08:00
4.6K
wiki-tui-0.8.2-r0.apk
2023-08-12 08:00
1.4M
wget2-libs-2.1.0-r0.apk
2023-09-04 11:49
183K
wget2-doc-2.1.0-r0.apk
2023-09-04 11:49
70K
wget2-dev-2.1.0-r0.apk
2023-09-04 11:49
1.0M
wget2-dbg-2.1.0-r0.apk
2023-09-04 11:49
862K
wget2-2.1.0-r0.apk
2023-09-04 11:49
99K
wgcf-zsh-completion-2.2.22-r1.apk
2024-05-19 02:22
4.0K
wgcf-fish-completion-2.2.22-r1.apk
2024-05-19 02:22
4.3K
wgcf-bash-completion-2.2.22-r1.apk
2024-05-19 02:22
5.0K
wgcf-2.2.22-r1.apk
2024-05-19 02:22
3.5M
wf-shell-doc-0.8.1-r0.apk
2024-03-15 07:20
2.2K
wf-shell-dev-0.8.1-r0.apk
2024-03-15 07:20
1.7K
wf-shell-0.8.1-r0.apk
2024-03-15 07:20
6.0M
wf-config-dev-0.8.0-r0.apk
2023-11-26 00:40
16K
wf-config-0.8.0-r0.apk
2023-11-26 00:40
91K
welle-io-doc-2.4-r5.apk
2024-04-24 22:46
4.0K
welle-io-2.4-r5.apk
2024-04-24 22:46
418K
welle-cli-2.4-r5.apk
2024-04-24 22:46
252K
weggli-0.2.4-r1.apk
2023-05-24 07:50
747K
wcm-0.8.0-r0.apk
2023-11-26 00:40
344K
wch-isp-udev-rules-0.4.1-r1.apk
2024-01-19 19:40
1.6K
wch-isp-doc-0.4.1-r1.apk
2024-01-19 19:40
2.7K
wch-isp-0.4.1-r1.apk
2024-01-19 19:40
9.8K
wbg-1.1.0-r0.apk
2022-10-25 18:50
11K
wayqt-dev-0.2.0-r0.apk
2023-12-30 14:27
18K
wayqt-0.2.0-r0.apk
2023-12-30 14:27
89K
waynergy-0.0.17-r0.apk
2024-05-09 21:48
42K
waylevel-1.0.0-r1.apk
2023-05-24 07:50
260K
wayfire-plugins-extra-0.8.1-r0.apk
2024-03-15 23:58
508K
wayfire-doc-0.8.1-r0.apk
2024-03-15 07:20
2.7K
wayfire-dev-0.8.1-r0.apk
2024-03-15 07:20
129K
wayfire-0.8.1-r0.apk
2024-03-15 07:20
2.1M
way-displays-doc-1.8.1-r2.apk
2023-09-11 12:02
4.1K
way-displays-1.8.1-r2.apk
2023-09-11 12:02
66K
watershot-0.2.0-r0.apk
2023-07-04 00:43
1.4M
watchmate-0.5.1-r1.apk
2023-12-19 17:06
2.1M
watchdog-doc-5.16-r1.apk
2023-03-15 18:02
14K
watchdog-5.16-r1.apk
2023-03-15 18:02
37K
watchbind-doc-0.2.1-r0.apk
2024-01-22 12:30
6.6K
watchbind-0.2.1-r0.apk
2024-01-22 12:30
1.0M
warpinator-nemo-1.8.3-r0.apk
2024-02-10 09:40
3.7K
warpinator-lang-1.8.3-r0.apk
2024-02-10 09:40
174K
warpinator-1.8.3-r0.apk
2024-02-10 09:40
202K
walk-1.7.0-r0.apk
2024-06-08 23:28
1.2M
waifu2x-converter-cpp-5.3.4-r5.apk
2024-02-22 01:14
12M
wabt-doc-1.0.34-r0.apk
2023-10-31 00:11
14K
wabt-1.0.34-r0.apk
2023-10-31 00:11
4.8M
w_scan2-doc-1.0.15-r0.apk
2024-01-04 21:58
4.1K
w_scan2-1.0.15-r0.apk
2024-01-04 21:58
130K
vym-doc-2.9.26-r0.apk
2023-12-23 01:51
3.4M
vym-2.9.26-r0.apk
2023-12-23 01:51
2.7M
volumeicon-lang-0.5.1-r1.apk
2022-10-25 01:24
3.8K
volumeicon-0.5.1-r1.apk
2022-10-25 01:24
40K
voikko-fi-2.5-r0.apk
2023-06-15 13:48
1.6M
vmtouch-doc-1.3.1-r0.apk
2023-03-28 14:18
8.0K
vmtouch-1.3.1-r0.apk
2023-03-28 14:18
12K
vkbasalt-doc-0.3.2.10-r0.apk
2024-01-19 00:39
3.1K
vkbasalt-0.3.2.10-r0.apk
2024-01-19 00:39
344K
vixl-dev-7.0.0-r0.apk
2024-02-20 16:38
115K
vixl-7.0.0-r0.apk
2024-02-20 16:38
290K
vivid-0.9.0-r1.apk
2023-05-24 07:50
261K
vit-pyc-2.3.2-r1.apk
2024-04-16 02:00
151K
vit-2.3.2-r1.apk
2024-04-16 02:00
80K
visurf-0.0.0_git20220301-r1.apk
2022-08-04 08:28
1.5M
visidata-zsh-completion-2.11.1-r2.apk
2024-04-16 02:00
7.2K
visidata-pyc-2.11.1-r2.apk
2024-04-16 02:00
497K
visidata-doc-2.11.1-r2.apk
2024-04-16 02:00
16K
visidata-2.11.1-r2.apk
2024-04-16 02:00
248K
virtme-ng-bash-completion-1.25-r0.apk
2024-05-25 13:31
2.7K
virtme-ng-1.25-r0.apk
2024-05-25 13:31
166K
virter-zsh-completion-0.27.0-r1.apk
2024-05-19 02:22
4.0K
virter-fish-completion-0.27.0-r1.apk
2024-05-19 02:22
4.3K
virter-doc-0.27.0-r1.apk
2024-05-19 02:22
15K
virter-bash-completion-0.27.0-r1.apk
2024-05-19 02:22
5.1K
virter-0.27.0-r1.apk
2024-05-19 02:22
5.1M
virtctl-zsh-completion-1.2.0-r1.apk
2024-05-19 02:22
4.0K
virtctl-fish-completion-1.2.0-r1.apk
2024-05-19 02:22
3.9K
virtctl-bash-completion-1.2.0-r1.apk
2024-05-19 02:22
5.0K
virtctl-1.2.0-r1.apk
2024-05-19 02:22
13M
vim-rust-305-r0.apk
2020-07-23 02:01
20K
vim-airline-doc-0.11-r0.apk
2021-05-04 12:16
12K
vim-airline-0.11-r0.apk
2021-05-04 12:16
86K
vile-doc-9.8z-r0.apk
2024-01-26 03:26
15K
vile-9.8z-r0.apk
2024-01-26 03:26
1.3M
viewnior-lang-1.8-r1.apk
2023-06-15 13:48
85K
viewnior-doc-1.8-r1.apk
2023-06-15 13:48
2.1K
viewnior-1.8-r1.apk
2023-06-15 13:48
67K
video-trimmer-lang-0.8.2-r0.apk
2023-10-09 18:24
84K
video-trimmer-0.8.2-r0.apk
2023-10-09 18:24
684K
vidcutter-pyc-6.0.5.1-r5.apk
2023-04-22 12:34
1.9M
vidcutter-doc-6.0.5.1-r5.apk
2023-04-22 12:34
24K
vidcutter-6.0.5.1-r5.apk
2023-04-22 12:34
2.8M
vice-doc-3.8-r0.apk
2024-01-12 01:27
2.2M
vice-3.8-r0.apk
2024-01-12 01:27
11M
vfd-configurations-0_git20230612-r0.apk
2023-06-15 13:48
25K
vera++-1.3.0-r10.apk
2024-04-22 17:52
232K
venc-pyc-3.1.1-r1.apk
2024-04-16 02:00
115K
venc-3.1.1-r1.apk
2024-04-16 02:00
158K
vectoroids-doc-1.1.0-r2.apk
2024-05-28 13:36
2.3K
vectoroids-1.1.0-r2.apk
2024-05-28 13:36
281K
vcstool-zsh-completion-0.3.0-r5.apk
2024-04-16 02:00
1.7K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-04-16 02:00
1.6K
vcstool-pyc-0.3.0-r5.apk
2024-04-16 02:00
58K
vcstool-bash-completion-0.3.0-r5.apk
2024-04-16 02:00
1.8K
vcstool-0.3.0-r5.apk
2024-04-16 02:00
35K
vcsh-zsh-completion-2.0.5-r0.apk
2023-06-15 13:48
2.9K
vcsh-doc-2.0.5-r0.apk
2023-06-15 13:48
27K
vcsh-bash-completion-2.0.5-r0.apk
2023-06-15 13:48
2.9K
vcsh-2.0.5-r0.apk
2023-06-15 13:48
8.8K
vcdimager-doc-2.0.1-r3.apk
2023-04-29 19:30
75K
vcdimager-dev-2.0.1-r3.apk
2023-04-29 19:30
113K
vcdimager-2.0.1-r3.apk
2023-04-29 19:30
451K
vbindiff-doc-3.0_beta5-r1.apk
2022-10-25 01:24
5.5K
vbindiff-3.0_beta5-r1.apk
2022-10-25 01:24
17K
varnish-modules-doc-0.23.0-r1.apk
2023-12-12 07:40
21K
varnish-modules-0.23.0-r1.apk
2023-12-12 07:40
38K
vals-0.35.0-r3.apk
2024-05-19 02:22
19M
vale-doc-3.4.2-r1.apk
2024-05-19 02:22
2.3K
vale-3.4.2-r1.apk
2024-05-19 02:22
8.1M
uxn-doc-1.0-r0.apk
2024-03-23 16:22
4.2K
uxn-1.0-r0.apk
2024-03-23 16:22
32K
uv-zsh-completion-0.2.9-r0.apk
2024-06-08 01:02
9.1K
uv-fish-completion-0.2.9-r0.apk
2024-06-08 01:02
11K
uv-bash-completion-0.2.9-r0.apk
2024-06-08 01:02
5.0K
uv-0.2.9-r0.apk
2024-06-08 01:02
3.8M
uucp-doc-1.07-r5.apk
2023-06-19 20:39
118K
uucp-1.07-r5.apk
2023-06-19 20:39
423K
utop-full-2.9.1-r4.apk
2024-04-04 12:35
13M
utop-emacs-2.9.1-r4.apk
2024-04-04 12:35
13K
utop-doc-2.9.1-r4.apk
2024-04-04 12:35
5.7K
utop-common-2.9.1-r4.apk
2024-04-04 12:35
2.0K
utop-2.9.1-r4.apk
2024-04-04 12:35
13M
ustream-ssl-dev-20220116-r1.apk
2022-08-04 21:41
2.6K
ustream-ssl-20220116-r1.apk
2022-08-04 21:41
5.6K
ustr-static-1.0.4-r1.apk
2023-05-15 18:04
126K
ustr-doc-1.0.4-r1.apk
2023-05-15 18:04
97K
ustr-dev-1.0.4-r1.apk
2023-05-15 18:04
91K
ustr-debug-1.0.4-r1.apk
2023-05-15 18:04
61K
ustr-1.0.4-r1.apk
2023-05-15 18:04
44K
usbmuxd-doc-1.1.1-r6.apk
2024-02-05 20:31
3.0K
usbmuxd-1.1.1-r6.apk
2024-02-05 20:31
32K
usbguard-zsh-completion-1.1.3-r0.apk
2024-06-08 12:13
3.1K
usbguard-openrc-1.1.3-r0.apk
2024-06-08 12:13
1.7K
usbguard-notifier-doc-0.1.1-r0.apk
2024-06-08 18:11
4.9K
usbguard-notifier-0.1.1-r0.apk
2024-06-08 18:11
29K
usbguard-doc-1.1.3-r0.apk
2024-06-08 12:13
16K
usbguard-dev-1.1.3-r0.apk
2024-06-08 12:13
31K
usbguard-bash-completion-1.1.3-r0.apk
2024-06-08 12:13
4.6K
usbguard-1.1.3-r0.apk
2024-06-08 12:13
94K
urlwatch-pyc-2.28-r1.apk
2024-04-17 04:54
101K
urlwatch-doc-2.28-r1.apk
2024-04-17 04:54
33K
urlwatch-2.28-r1.apk
2024-04-17 04:54
48K
uranium-5.2.2-r3.apk
2024-04-16 02:00
596K
upterm-zsh-completion-0.13.5-r1.apk
2024-05-19 02:22
4.0K
upterm-server-openrc-0.13.5-r1.apk
2024-05-19 02:22
1.9K
upterm-server-0.13.5-r1.apk
2024-05-19 02:22
4.8M
upterm-doc-0.13.5-r1.apk
2024-05-19 02:22
6.5K
upterm-bash-completion-0.13.5-r1.apk
2024-05-19 02:22
5.5K
upterm-0.13.5-r1.apk
2024-05-19 02:22
5.4M
up-0.4-r22.apk
2024-06-03 08:55
1.0M
unit-php81-1.32.1-r0.apk
2024-04-10 18:15
27K
undock-0.7.0-r3.apk
2024-05-19 02:22
9.1M
uefitool-0.28.0-r1.apk
2022-10-25 01:24
350K
ueberzug-pyc-18.2.3-r0.apk
2024-05-24 19:43
64K
ueberzug-18.2.3-r0.apk
2024-05-24 19:43
62K
udpt-openrc-3.1.2-r0.apk
2023-05-26 23:46
1.8K
udpt-3.1.2-r0.apk
2023-05-26 23:46
621K
ucspi-tcp-0.88-r2.apk
2022-10-25 01:24
99K
uclient-fetch-20210514-r0.apk
2022-06-09 03:00
9.8K
uclient-dev-20210514-r0.apk
2022-06-09 03:00
3.2K
uclient-20210514-r0.apk
2022-06-09 03:00
10K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2023-11-29 09:18
2.3K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2023-11-29 09:18
16K
ubase-doc-20200605-r2.apk
2022-10-25 01:24
21K
ubase-20200605-r2.apk
2022-10-25 01:24
43K
uasm-2.56.2-r0.apk
2023-10-23 04:39
259K
u1db-qt-0.1.7-r0.apk
2023-12-17 23:08
89K
typstfmt-doc-0.2.7-r0.apk
2024-01-25 00:05
2.3K
typstfmt-0.2.7-r0.apk
2024-01-25 00:05
672K
typescript-5.4.5-r0.apk
2024-06-02 22:48
5.6M
twinkle-doc-1.10.3-r2.apk
2023-04-29 19:30
3.6K
twinkle-1.10.3-r2.apk
2023-04-29 19:30
2.2M
twiggy-0.6.0-r3.apk
2023-05-24 07:50
688K
twemproxy-doc-0.5.0-r0.apk
2022-04-30 10:20
17K
twemproxy-0.5.0-r0.apk
2022-04-30 10:20
57K
turnstile-openrc-0.1.8-r0.apk
2023-09-03 06:03
1.8K
turnstile-doc-0.1.8-r0.apk
2023-09-03 06:03
5.7K
turnstile-0.1.8-r0.apk
2023-09-03 06:03
32K
turn-rs-openrc-2.1.3-r0.apk
2024-04-18 16:03
2.0K
turn-rs-doc-2.1.3-r0.apk
2024-04-18 16:03
14K
turn-rs-cli-2.1.3-r0.apk
2024-04-18 16:03
779K
turn-rs-balance-2.1.3-r0.apk
2024-04-18 16:03
445K
turn-rs-2.1.3-r0.apk
2024-04-18 16:03
1.0M
tuptime-openrc-5.2.2-r3.apk
2023-07-02 19:38
1.7K
tuptime-doc-5.2.2-r3.apk
2023-07-02 19:38
3.8K
tuptime-5.2.2-r3.apk
2023-07-02 19:38
14K
tup-vim-0.7.11-r0.apk
2023-03-06 14:10
2.6K
tup-doc-0.7.11-r0.apk
2023-03-06 14:10
21K
tup-0.7.11-r0.apk
2023-03-06 14:10
208K
tui-journal-doc-0.8.0-r0.apk
2024-02-10 03:51
6.6K
tui-journal-0.8.0-r0.apk
2024-02-10 03:51
1.6M
ttyper-1.4.1-r0.apk
2024-02-03 10:40
501K
tty-share-2.4.0-r11.apk
2024-05-19 02:22
3.2M
tty-proxy-0.0.2-r21.apk
2024-05-19 02:22
2.2M
tty-clock-doc-2.3_git20240104-r0.apk
2024-01-19 04:13
3.1K
tty-clock-2.3_git20240104-r0.apk
2024-01-19 04:13
7.8K
ttfautohint-libs-1.8.4-r0.apk
2024-05-06 12:51
93K
ttfautohint-gui-1.8.4-r0.apk
2024-05-06 12:51
52K
ttfautohint-doc-1.8.4-r0.apk
2024-05-06 12:51
8.1K
ttfautohint-dev-1.8.4-r0.apk
2024-05-06 12:51
141K
ttfautohint-1.8.4-r0.apk
2024-05-06 12:51
24K
ttdl-doc-4.3.0-r0.apk
2024-05-13 10:06
34K
ttdl-4.3.0-r0.apk
2024-05-13 10:06
791K
tsung-1.8.0-r2.apk
2023-12-19 08:48
730K
trunk-0.20.2-r0.apk
2024-06-05 18:05
4.1M
trivy-0.50.4-r1.apk
2024-05-19 02:22
69M
trippy-zsh-completion-0.10.0-r0.apk
2024-04-06 00:46
4.6K
trippy-bash-completion-0.10.0-r0.apk
2024-04-06 00:46
3.1K
trippy-0.10.0-r0.apk
2024-04-06 00:46
1.7M
trigger-rally-doc-0.6.7-r2.apk
2024-01-02 09:43
28K
trigger-rally-data-0.6.7-r2.apk
2024-01-02 09:43
352M
trigger-rally-0.6.7-r2.apk
2024-01-02 09:41
279K
tremc-zsh-completion-0.9.3-r0.apk
2022-03-18 00:19
1.6K
tremc-doc-0.9.3-r0.apk
2022-03-18 00:19
2.6K
tremc-bash-completion-0.9.3-r0.apk
2022-03-18 00:19
1.7K
tremc-0.9.3-r0.apk
2022-03-18 00:19
48K
tree-sitter-xml-doc-0.6.3-r0.apk
2024-05-10 14:57
2.3K
tree-sitter-xml-0.6.3-r0.apk
2024-05-10 14:57
28K
tree-sitter-ssh-client-config-2024.6.6-r0.apk
2024-06-06 17:06
82K
tree-sitter-scheme-doc-0.6.0-r0.apk
2023-11-20 01:24
2.2K
tree-sitter-scheme-0.6.0-r0.apk
2023-11-20 01:24
22K
tree-sitter-ron-0_git20220508-r1.apk
2023-11-15 22:53
26K
tree-sitter-nix-doc-0_git20230713-r0.apk
2023-11-19 03:32
2.2K
tree-sitter-nix-0_git20230713-r0.apk
2023-11-19 03:32
22K
tree-sitter-markdown-doc-0.2.3-r0.apk
2024-03-23 08:35
2.3K
tree-sitter-markdown-0.2.3-r0.apk
2024-03-23 08:35
128K
tree-sitter-make-0_git20211216-r2.apk
2023-11-15 22:53
42K
tree-sitter-kotlin-0.3.6-r0.apk
2024-05-10 02:34
335K
tree-sitter-just-0_git20230318-r0.apk
2023-12-22 23:28
13K
tree-sitter-hcl-1.1.0-r1.apk
2023-11-15 22:53
38K
tree-sitter-hare-0_git20230616-r1.apk
2023-11-15 22:53
33K
tree-sitter-git-rebase-0_git20220110-r2.apk
2023-11-15 22:53
6.9K
tree-sitter-git-diff-0_git20230730-r0.apk
2023-11-17 00:15
9.5K
tree-sitter-git-commit-0_git20211225-r2.apk
2023-11-15 22:53
13K
tree-sitter-elixir-0.2.0-r0.apk
2024-04-28 11:33
200K
tree-sitter-dart-0_git20230123-r1.apk
2023-11-15 22:53
91K
tree-sitter-clojure-0.0.12-r0.apk
2024-01-12 14:22
22K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2023-12-16 03:06
2.3K
tree-sitter-caddy-0_git20230322-r0.apk
2023-12-16 03:06
69K
tree-sitter-c-sharp-0.21.2-r0.apk
2024-05-10 02:36
340K
tre-static-0.8.0-r2.apk
2023-05-15 18:04
23K
tre-dev-0.8.0-r2.apk
2023-05-15 18:04
5.2K
tre-0.8.0-r2.apk
2023-05-15 18:04
22K
trantor-doc-1.5.18-r0.apk
2024-05-04 22:50
2.6K
trantor-dev-1.5.18-r0.apk
2024-05-04 22:50
34K
trantor-1.5.18-r0.apk
2024-05-04 22:50
196K
transmission-remote-gtk-lang-1.6.0-r0.apk
2023-07-02 19:38
106K
transmission-remote-gtk-doc-1.6.0-r0.apk
2023-07-02 19:38
4.2K
transmission-remote-gtk-1.6.0-r0.apk
2023-07-02 19:38
130K
tpm2-tools-doc-5.6-r0.apk
2024-02-01 04:06
498K
tpm2-tools-bash-completion-5.6-r0.apk
2024-02-01 04:06
15K
tpm2-tools-5.6-r0.apk
2024-02-01 04:06
219K
tpm2-pkcs11-pyc-1.9.0-r1.apk
2023-04-22 12:34
75K
tpm2-pkcs11-dev-1.9.0-r1.apk
2023-04-22 12:34
1.9K
tpm2-pkcs11-1.9.0-r1.apk
2023-04-22 12:34
121K
toybox-0.8.11-r0.apk
2024-04-29 23:07
269K
toss-1.1-r0.apk
2022-02-25 15:17
11K
torrent-file-editor-0.3.18-r0.apk
2023-07-02 19:38
352K
topgit-doc-0.19.13-r1.apk
2022-10-25 01:24
73K
topgit-bash-completion-0.19.13-r1.apk
2022-10-25 01:24
4.0K
topgit-0.19.13-r1.apk
2022-10-25 01:24
127K
tootik-openrc-0.10.4-r1.apk
2024-05-19 02:22
2.9K
tootik-0.10.4-r1.apk
2024-05-19 02:22
4.1M
toml2json-doc-1.3.1-r0.apk
2023-08-05 12:40
3.3K
toml2json-1.3.1-r0.apk
2023-08-05 12:40
319K
today-doc-6.1.4-r0.apk
2023-12-18 15:10
3.2K
today-6.1.4-r0.apk
2023-12-18 15:10
3.1K
toapk-1.0-r0.apk
2023-12-02 13:04
10K
tnef-doc-1.4.18-r0.apk
2024-03-30 18:37
4.2K
tnef-1.4.18-r0.apk
2024-03-30 18:37
24K
tncattach-doc-0.1.9-r1.apk
2022-10-25 01:24
3.9K
tncattach-0.1.9-r1.apk
2022-10-25 01:24
20K
tmux-resurrect-doc-4.0.0-r0.apk
2022-09-21 10:37
8.4K
tmux-resurrect-4.0.0-r0.apk
2022-09-21 10:37
14K
tmpmail-doc-1.2.3-r2.apk
2023-11-01 11:12
3.2K
tmpmail-1.2.3-r2.apk
2023-11-01 11:12
7.0K
tmpl-doc-0.4.0-r4.apk
2024-05-19 02:22
2.2K
tmpl-0.4.0-r4.apk
2024-05-19 02:22
2.3M
tmate-doc-2.4.0-r4.apk
2023-03-05 03:21
72K
tmate-2.4.0-r4.apk
2023-03-05 03:21
218K
tldr-python-client-pyc-3.2.0-r1.apk
2024-04-16 02:00
14K
tldr-python-client-doc-3.2.0-r1.apk
2024-04-16 02:00
3.5K
tldr-python-client-3.2.0-r1.apk
2024-04-16 02:00
11K
tkts-2.0-r0.apk
2023-12-02 13:05
17K
tinyscheme-1.42-r1.apk
2022-10-14 16:53
49K
tinycbor-dev-0.6.0-r1.apk
2022-11-17 07:58
8.4K
tinycbor-0.6.0-r1.apk
2022-11-17 07:58
14K
tintin-2.02.31-r0.apk
2023-08-26 13:00
1.8M
timewarrior-doc-1.7.1-r0.apk
2024-01-17 23:56
22K
timewarrior-1.7.1-r0.apk
2024-01-17 23:56
242K
timew-doc-1.4.3-r1.apk
2022-10-25 01:24
53K
timew-bash-completion-1.4.3-r1.apk
2022-10-25 01:24
2.8K
timew-1.4.3-r1.apk
2022-10-25 01:24
231K
timeshift-lang-24.01.1-r0.apk
2024-03-11 07:24
744K
timeshift-doc-24.01.1-r0.apk
2024-03-11 07:24
3.2K
timeshift-24.01.1-r0.apk
2024-03-11 07:24
442K
time-doc-1.9-r1.apk
2022-10-25 01:24
15K
time-1.9-r1.apk
2022-10-25 01:24
11K
tillitis-key1-apps-doc-0.0.6-r7.apk
2024-05-19 02:22
12K
tillitis-key1-apps-0.0.6-r7.apk
2024-05-19 02:22
5.1M
ticker-zsh-completion-4.5.14-r6.apk
2024-05-19 02:22
3.7K
ticker-fish-completion-4.5.14-r6.apk
2024-05-19 02:22
3.9K
ticker-bash-completion-4.5.14-r6.apk
2024-05-19 02:22
4.6K
ticker-4.5.14-r6.apk
2024-05-19 02:22
3.5M
tick-doc-1.2.0-r0.apk
2024-05-31 15:22
5.5K
tick-1.2.0-r0.apk
2024-05-31 15:22
9.7K
tic-80-1.1.2837-r2.apk
2024-04-17 12:27
12M
thunarx-python-doc-0.5.2-r2.apk
2024-04-16 18:34
25K
thunarx-python-0.5.2-r2.apk
2024-04-16 18:34
9.1K
thunar-gtkhash-plugin-1.5-r0.apk
2022-10-01 23:13
20K
thumbdrives-0.3.2-r2.apk
2024-05-04 00:53
11K
theme.sh-doc-1.1.5-r0.apk
2023-09-05 11:47
2.3K
theme.sh-1.1.5-r0.apk
2023-09-05 11:47
39K
thelounge-openrc-4.4.1-r0.apk
2023-08-07 07:55
1.7K
thelounge-4.4.1-r0.apk
2023-08-07 07:55
16M
thefuck-pyc-3.32-r3.apk
2024-04-16 02:00
156K
thefuck-3.32-r3.apk
2024-04-16 02:00
74K
theforceengine-doc-1.09.540-r1.apk
2024-02-12 17:03
6.3M
theforceengine-1.09.540-r1.apk
2024-02-12 17:03
6.7M
texlab-5.15.0-r0.apk
2024-04-26 17:31
8.4M
termusic-mpv-0.7.11-r0.apk
2023-09-06 17:46
5.4M
termcolor-dev-2.1.0-r0.apk
2022-10-28 16:12
6.8K
termcolor-2.1.0-r0.apk
2022-10-28 16:12
1.5K
termbox-static-1.1.2-r1.apk
2023-05-15 18:04
11K
termbox-dev-1.1.2-r1.apk
2023-05-15 18:04
5.7K
termbox-1.1.2-r1.apk
2023-05-15 18:04
10K
tere-doc-1.5.1-r0.apk
2023-08-26 18:39
14K
tere-1.5.1-r0.apk
2023-08-26 18:39
920K
tenv-zsh-completion-2.0.2-r0.apk
2024-06-09 08:30
4.0K
tenv-fish-completion-2.0.2-r0.apk
2024-06-09 08:30
4.3K
tenv-bash-completion-2.0.2-r0.apk
2024-06-09 08:30
5.0K
tenv-2.0.2-r0.apk
2024-06-09 08:30
21M
templ-0.2.663-r1.apk
2024-05-19 02:22
3.5M
telegram-tdlib-static-1.8.9-r1.apk
2023-05-15 18:04
14M
telegram-tdlib-doc-1.8.9-r1.apk
2023-05-15 18:04
4.6K
telegram-tdlib-dev-1.8.9-r1.apk
2023-05-15 18:04
121K
telegram-tdlib-1.8.9-r1.apk
2023-05-15 18:04
4.8M
telegram-bot-api-7.4-r0.apk
2024-06-07 22:50
5.9M
teapot-tools-0.4.2-r2.apk
2024-04-16 02:00
1.8M
tealdeer-zsh-completion-1.6.1-r2.apk
2023-07-02 19:38
2.4K
tealdeer-fish-completion-1.6.1-r2.apk
2023-07-02 19:38
2.2K
tealdeer-bash-completion-1.6.1-r2.apk
2023-07-02 19:38
2.0K
tealdeer-1.6.1-r2.apk
2023-07-02 19:38
803K
tdrop-doc-0.5.0-r0.apk
2022-05-05 22:56
8.9K
tdrop-0.5.0-r0.apk
2022-05-05 22:56
12K
tcl-curl-doc-7.22.0-r0.apk
2023-01-18 20:33
38K
tcl-curl-7.22.0-r0.apk
2023-01-18 20:33
27K
tcc-libs-static-0.9.27_git20240117-r0.apk
2024-01-26 07:27
11K
tcc-libs-0.9.27_git20240117-r0.apk
2024-01-26 07:27
131K
tcc-doc-0.9.27_git20240117-r0.apk
2024-01-26 07:27
50K
tcc-dev-0.9.27_git20240117-r0.apk
2024-01-26 07:27
44K
tcc-0.9.27_git20240117-r0.apk
2024-01-26 07:27
9.8K
tayga-doc-0.9.2-r0.apk
2023-01-23 08:26
5.6K
tayga-0.9.2-r0.apk
2023-01-23 08:26
20K
tauri-cli-1.4.0-r2.apk
2023-08-12 01:56
4.0M
taskcafe-openrc-0.3.6-r6.apk
2024-05-19 02:22
1.8K
taskcafe-0.3.6-r6.apk
2024-05-19 02:22
13M
tartube-pyc-2.5.0-r0.apk
2024-01-12 01:27
1.1M
tartube-2.5.0-r0.apk
2024-01-12 01:27
1.4M
tanka-0.26.0-r5.apk
2024-05-19 02:22
3.8M
tanidvr-dhav2mkv-1.4.1-r1.apk
2022-10-14 16:53
12K
tanidvr-1.4.1-r1.apk
2022-10-14 16:53
21K
tangctl-0_git20220412-r16.apk
2024-05-19 02:22
2.2M
tang-openrc-14-r0.apk
2023-07-23 15:58
1.9K
tang-doc-14-r0.apk
2023-07-23 15:58
21K
tang-dbg-14-r0.apk
2023-07-23 15:58
31K
tang-14-r0.apk
2023-07-23 15:58
14K
tailspin-zsh-completion-3.0.0-r0.apk
2024-02-03 23:35
2.4K
tailspin-fish-completion-3.0.0-r0.apk
2024-02-03 23:35
2.0K
tailspin-doc-3.0.0-r0.apk
2024-02-03 23:35
2.7K
tailspin-bash-completion-3.0.0-r0.apk
2024-02-03 23:35
2.2K
tailspin-3.0.0-r0.apk
2024-02-03 23:35
1.0M
tachyon-scenes-0.99_beta6-r1.apk
2024-03-29 22:45
1.9M
tachyon-0.99_beta6-r1.apk
2024-03-29 22:45
83K
tabby-doc-3.1-r1.apk
2023-11-26 00:40
2.2K
tabby-3.1-r1.apk
2023-11-26 00:40
26K
t2sz-1.1.2-r0.apk
2023-04-13 23:28
8.0K
sysls-2-r1.apk
2024-04-16 02:00
6.0K
syncthing-gtk-pyc-0.9.4.5-r1.apk
2024-04-16 02:00
221K
syncthing-gtk-doc-0.9.4.5-r1.apk
2024-04-16 02:00
2.2K
syncthing-gtk-0.9.4.5-r1.apk
2024-04-16 02:00
425K
synapse-bt-openrc-1.0-r4.apk
2023-05-24 07:50
1.8K
synapse-bt-cli-1.0-r4.apk
2023-05-24 07:50
901K
synapse-bt-1.0-r4.apk
2023-05-24 07:50
1.0M
synadm-pyc-0.46-r1.apk
2024-04-16 02:00
67K
synadm-0.46-r1.apk
2024-04-16 02:00
57K
symbiyosys-0.36-r0.apk
2023-12-18 22:24
38K
sylpheed-imap-notify-1.1.0-r0.apk
2020-07-29 06:18
7.0K
sxcs-doc-1.1.0-r0.apk
2024-06-06 22:53
2.6K
sxcs-1.1.0-r0.apk
2024-06-06 22:53
8.1K
swig3-doc-3.0.12-r3.apk
2024-04-22 19:49
3.6K
swig3-3.0.12-r3.apk
2024-04-22 19:49
1.2M
swi-prolog-xpce-doc-9.2.5-r0.apk
2024-05-31 15:30
1.0M
swi-prolog-xpce-9.2.5-r0.apk
2024-05-31 15:30
942K
swi-prolog-pyc-9.2.5-r0.apk
2024-05-31 15:30
23K
swi-prolog-doc-9.2.5-r0.apk
2024-05-31 15:30
2.1M
swi-prolog-9.2.5-r0.apk
2024-05-31 15:30
4.5M
swayhide-0.2.1-r1.apk
2023-05-24 07:50
209K
sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk
2023-08-31 12:46
8.6K
swappy-lang-1.5.1-r0.apk
2022-11-24 22:25
3.6K
swappy-doc-1.5.1-r0.apk
2022-11-24 22:25
3.7K
swappy-1.5.1-r0.apk
2022-11-24 22:25
26K
swaks-doc-20240103.0-r0.apk
2024-01-08 09:06
50K
swaks-20240103.0-r0.apk
2024-01-08 09:06
66K
svls-doc-0.2.11-r0.apk
2024-01-04 21:58
2.2K
svls-0.2.11-r0.apk
2024-01-04 21:58
3.1M
svgbob-0.7.2-r0.apk
2023-09-09 23:44
386K
suru-icon-theme-20.05.1_git20221222-r0.apk
2023-12-17 23:08
3.0M
surfraw-doc-2.3.0-r0.apk
2023-08-01 22:41
18K
surfraw-2.3.0-r0.apk
2023-08-01 22:41
79K
surf-doc-2.1-r3.apk
2024-05-13 10:06
4.6K
surf-2.1-r3.apk
2024-05-13 10:06
20K
supermin-doc-5.2.2-r2.apk
2024-04-18 13:20
9.4K
supermin-5.2.2-r2.apk
2024-04-18 13:20
490K
supercollider-dev-3.13.0-r5.apk
2024-05-21 18:39
41K
supercollider-3.13.0-r5.apk
2024-05-21 18:39
7.2M
sudo-ldap-1.9.14-r1.apk
2023-07-29 21:37
692K
subliminal-pyc-2.1.0-r4.apk
2024-04-16 02:00
106K
subliminal-2.1.0-r4.apk
2024-04-16 02:00
53K
sublime-music-pyc-0.12.0-r1.apk
2024-04-17 04:54
302K
sublime-music-0.12.0-r1.apk
2024-04-17 04:54
190K
subdl-pyc-0_git20230616-r1.apk
2024-04-16 02:00
14K
subdl-0_git20230616-r1.apk
2024-04-16 02:00
8.7K
stw-doc-0.3-r0.apk
2023-03-06 15:44
2.5K
stw-0.3-r0.apk
2023-03-06 15:44
6.9K
sturmreader-lang-3.7.2-r0.apk
2023-10-22 10:34
39K
sturmreader-3.7.2-r0.apk
2023-10-22 10:34
1.0M
stubby-openrc-0.4.3-r0.apk
2023-02-22 18:26
1.9K
stubby-doc-0.4.3-r0.apk
2023-02-22 18:26
13K
stubby-0.4.3-r0.apk
2023-02-22 18:26
20K
strfry-openrc-0.9.6-r0.apk
2024-01-25 18:01
2.1K
strfry-0.9.6-r0.apk
2024-01-25 18:01
1.2M
straw-viewer-doc-0.1.3-r2.apk
2023-07-04 00:43
37K
straw-viewer-0.1.3-r2.apk
2023-07-04 00:43
232K
stone-soup-0.31.0-r0.apk
2024-04-08 00:35
26M
stockfish-16-r0.apk
2023-07-04 00:43
33M
sthttpd-openrc-2.27.1-r2.apk
2022-10-25 01:24
1.9K
sthttpd-doc-2.27.1-r2.apk
2022-10-25 01:24
18K
sthttpd-2.27.1-r2.apk
2022-10-25 01:24
58K
stern-zsh-completion-1.29.0-r1.apk
2024-05-19 02:22
4.0K
stern-fish-completion-1.29.0-r1.apk
2024-05-19 02:22
4.3K
stern-bash-completion-1.29.0-r1.apk
2024-05-19 02:22
5.8K
stern-1.29.0-r1.apk
2024-05-19 02:22
16M
steghide-doc-0.5.1.1-r0.apk
2024-04-14 10:20
14K
steghide-0.5.1.1-r0.apk
2024-04-14 10:20
123K
steamguard-cli-zsh-completion-0.9.6-r0.apk
2023-07-02 19:38
3.5K
steamguard-cli-bash-completion-0.9.6-r0.apk
2023-07-02 19:38
2.5K
steamguard-cli-0.9.6-r0.apk
2023-07-02 19:38
1.9M
stayrtr-openrc-0.5.1-r3.apk
2024-05-19 02:22
2.0K
stayrtr-0.5.1-r3.apk
2024-05-19 02:22
9.1M
startup-tools-2.0.3-r4.apk
2023-07-02 19:38
13K
startup-lang-2.0.3-r4.apk
2023-07-02 19:38
17K
startup-fish-completion-2.0.3-r4.apk
2023-07-02 19:38
5.4K
startup-doc-2.0.3-r4.apk
2023-07-02 19:38
48K
startup-dev-2.0.3-r4.apk
2023-07-02 19:38
5.8K
startup-bridge-udev-2.0.3-r4.apk
2023-07-02 19:38
34K
startup-bridge-dconf-2.0.3-r4.apk
2023-07-02 19:38
34K
startup-2.0.3-r4.apk
2023-07-02 19:38
466K
starfighter-doc-2.4-r0.apk
2023-08-08 22:37
22K
starfighter-2.4-r0.apk
2023-08-08 22:37
48M
stardict-lang-3.0.6-r6.apk
2023-04-29 19:30
290K
stardict-help-3.0.6-r6.apk
2023-04-29 19:30
3.4M
stardict-doc-3.0.6-r6.apk
2023-04-29 19:30
2.2K
stardict-3.0.6-r6.apk
2023-04-29 19:30
894K
ssss-doc-0.5.7-r0.apk
2021-10-29 08:38
3.3K
ssss-0.5.7-r0.apk
2021-10-29 08:38
11K
sshuttle-pyc-1.1.1-r2.apk
2024-04-16 02:00
100K
sshuttle-1.1.1-r2.apk
2024-04-16 02:00
52K
sshsrv-1.0-r5.apk
2024-05-19 02:22
884K
ssh-tools-1.8-r0.apk
2024-03-16 22:30
26K
ssh-honeypot-openrc-0.1.1-r1.apk
2023-05-15 18:04
2.1K
ssh-honeypot-0.1.1-r1.apk
2023-05-15 18:04
7.9K
ssh-cert-authority-2.0.0-r19.apk
2024-05-19 02:22
4.4M
ssdfs-tools-dev-4.09-r0.apk
2023-03-15 18:02
18K
ssdfs-tools-4.09-r0.apk
2023-03-15 18:02
96K
srain-lang-1.7.0-r0.apk
2024-05-01 08:29
30K
srain-1.7.0-r0.apk
2024-05-01 08:29
156K
squeak-vm-doc-4.10.2.2614-r1.apk
2022-10-25 01:24
12K
squeak-vm-4.10.2.2614-r1.apk
2022-10-25 01:24
389K
sqm-scripts-1.6.0-r0.apk
2023-08-22 22:00
20K
sqlmap-pyc-1.8.5-r0.apk
2024-05-28 21:04
1.2M
sqlmap-1.8.5-r0.apk
2024-05-28 21:04
6.8M
sqliteodbc-0.99991-r0.apk
2023-12-23 01:51
81K
sqlar-doc-0_git20180107-r1.apk
2022-10-25 01:24
3.3K
sqlar-0_git20180107-r1.apk
2022-10-25 01:24
14K
sqawk-doc-0.24.0-r0.apk
2024-05-24 18:26
113K
sqawk-0.24.0-r0.apk
2024-05-24 18:26
14K
spread-sheet-widget-doc-0.8-r0.apk
2021-11-13 23:25
4.4K
spread-sheet-widget-dev-0.8-r0.apk
2021-11-13 23:25
331K
spread-sheet-widget-dbg-0.8-r0.apk
2021-11-13 23:25
186K
spread-sheet-widget-0.8-r0.apk
2021-11-13 23:25
40K
spotify-tui-0.25.0-r2.apk
2023-05-24 07:50
1.7M
spnavcfg-1.1-r0.apk
2023-02-13 07:41
34K
spiritvnc-0.6.0-r0.apk
2023-12-18 02:36
44K
spike-1.1.0-r0.apk
2023-03-28 19:01
920K
spice-html5-0.3.0-r1.apk
2021-09-10 02:04
438K
speedtest_exporter-openrc-0.3.2-r8.apk
2024-05-19 02:22
1.9K
speedtest_exporter-0.3.2-r8.apk
2024-05-19 02:22
3.4M
speedtest-go-openrc-1.1.5-r8.apk
2024-05-19 02:22
1.7K
speedtest-go-doc-1.1.5-r8.apk
2024-05-19 02:22
4.5K
speedtest-go-1.1.5-r8.apk
2024-05-19 02:22
4.9M
speedtest-examples-5.2.5-r1.apk
2023-09-04 14:00
13K
speedtest-doc-5.2.5-r1.apk
2023-09-04 14:00
18K
speedtest-5.2.5-r1.apk
2023-09-04 14:00
253K
speedcrunch-0.12-r3.apk
2023-02-16 07:37
1.1M
spark-2.8.3-r1.apk
2022-10-25 01:24
29M
spampd-openrc-2.61-r1.apk
2022-10-02 16:52
2.1K
spampd-2.61-r1.apk
2022-10-02 16:52
39K
spacectl-zsh-completion-0.30.0-r3.apk
2024-05-19 02:22
1.8K
spacectl-fish-completion-0.30.0-r3.apk
2024-05-19 02:22
6.0K
spacectl-doc-0.30.0-r3.apk
2024-05-19 02:22
2.3K
spacectl-bash-completion-0.30.0-r3.apk
2024-05-19 02:22
2.0K
spacectl-0.30.0-r3.apk
2024-05-19 02:22
4.7M
soundfont-vintage-dreams-waves-doc-2.1-r1.apk
2022-04-17 08:28
1.9K
soundfont-vintage-dreams-waves-2.1-r1.apk
2022-04-17 08:28
91K
sos-0.8-r24.apk
2024-05-19 02:22
2.3M
soqt-doc-1.6.0-r0.apk
2021-11-21 01:16
1.5K
soqt-dev-1.6.0-r0.apk
2021-11-21 01:16
98K
soqt-1.6.0-r0.apk
2021-11-21 01:16
200K
sopwith-doc-2.5.0-r0.apk
2024-05-05 22:23
15K
sopwith-2.5.0-r0.apk
2024-05-05 22:23
45K
sonarr-openrc-4.0.5.1710-r0.apk
2024-05-30 18:20
2.1K
sonarr-4.0.5.1710-r0.apk
2024-05-30 18:20
23M
somebar-doc-1.0.3-r0.apk
2023-06-15 13:47
2.4K
somebar-1.0.3-r0.apk
2023-06-15 13:47
32K
solarus-engine-doc-1.7.0-r0.apk
2023-11-22 15:31
3.3K
solarus-engine-1.7.0-r0.apk
2023-11-22 15:31
1.8M
solanum-lang-3.0.1_git20220607-r1.apk
2023-02-13 15:24
30K
solanum-3.0.1_git20220607-r1.apk
2023-02-13 15:24
353K
soju-utils-0.8.0-r0.apk
2024-06-08 16:05
8.0M
soju-openrc-0.8.0-r0.apk
2024-06-08 16:05
1.9K
soju-doc-0.8.0-r0.apk
2024-06-08 16:05
13K
soju-0.8.0-r0.apk
2024-06-08 16:05
7.6M
soapy-sdr-remote-openrc-0.5.2-r1.apk
2023-07-29 21:37
1.7K
soapy-sdr-remote-doc-0.5.2-r1.apk
2023-07-29 21:37
2.3K
soapy-sdr-remote-0.5.2-r1.apk
2023-07-29 21:37
174K
soapy-hackrf-0.3.4-r2.apk
2023-07-29 21:37
26K
soapy-bladerf-0.4.1-r0.apk
2022-06-09 14:34
36K
so-0.4.9-r1.apk
2023-05-24 07:50
1.4M
snowflake-doc-2.9.2-r2.apk
2024-05-19 02:22
2.9K
snowflake-2.9.2-r2.apk
2024-05-19 02:22
9.2M
snore-doc-0.3.1-r0.apk
2023-11-14 20:46
3.0K
snore-0.3.1-r0.apk
2023-11-14 20:46
4.7K
sndfile-tools-doc-1.5-r1.apk
2023-07-29 21:37
361K
sndfile-tools-1.5-r1.apk
2023-07-29 21:37
36K
snapraid-doc-12.3-r0.apk
2024-01-26 03:25
17K
snapraid-12.3-r0.apk
2024-01-26 03:25
250K
snapper-zsh-completion-0.11.0-r0.apk
2024-05-14 14:12
3.6K
snapper-lang-0.11.0-r0.apk
2024-05-14 14:12
158K
snapper-doc-0.11.0-r0.apk
2024-05-14 14:12
21K
snapper-dev-0.11.0-r0.apk
2024-05-14 14:12
11K
snapper-bash-completion-0.11.0-r0.apk
2024-05-14 14:12
3.1K
snapper-0.11.0-r0.apk
2024-05-14 14:12
706K
smplxmpp-doc-0.9.3-r2.apk
2024-04-29 18:47
25K
smplxmpp-0.9.3-r2.apk
2024-04-29 18:47
132K
slurm-doc-0.4.4-r0.apk
2022-05-02 02:14
2.3K
slurm-0.4.4-r0.apk
2022-05-02 02:14
14K
sloccount-doc-2.26-r3.apk
2022-01-18 21:37
59K
sloccount-2.26-r3.apk
2022-01-18 21:37
56K
slidge-pyc-0.1.0-r1.apk
2024-04-16 02:00
283K
slidge-openrc-0.1.0-r1.apk
2024-04-16 02:00
2.3K
slidge-matridge-pyc-0_git20240208-r1.apk
2024-04-16 02:00
38K
slidge-matridge-openrc-0_git20240208-r1.apk
2024-04-16 02:00
1.5K
slidge-matridge-0_git20240208-r1.apk
2024-04-16 02:00
30K
slidge-doc-0.1.0-r1.apk
2024-04-16 02:00
4.6K
slidge-0.1.0-r1.apk
2024-04-16 02:00
144K
skia-sharp-dev-0_git20230912-r0.apk
2024-02-29 09:04
1.5K
skia-sharp-0_git20230912-r0.apk
2024-02-29 09:04
3.1M
sish-openrc-2.16.0-r0.apk
2024-05-30 23:02
1.9K
sish-2.16.0-r0.apk
2024-05-30 23:02
7.3M
siril-lang-1.2.1-r2.apk
2024-03-11 07:24
1.5M
siril-doc-1.2.1-r2.apk
2024-03-11 07:24
18K
siril-1.2.1-r2.apk
2024-03-11 07:24
2.5M
sipgrep-2.2.0-r0.apk
2024-05-08 14:21
24K
sipexer-1.1.0-r6.apk
2024-05-19 02:22
2.4M
singular-static-4.3.2-r2.apk
2023-08-01 16:54
4.4M
singular-emacs-4.3.2-r2.apk
2023-08-01 16:54
100K
singular-doc-4.3.2-r2.apk
2023-08-01 16:54
1.3M
singular-dev-4.3.2-r2.apk
2023-08-01 16:54
370K
singular-4.3.2-r2.apk
2023-08-01 16:54
9.0M
sing-geosite-20231212122459-r0.apk
2023-12-13 18:19
715K
sing-geoip-20231212-r0.apk
2023-12-13 18:19
3.0M
sing-box-zsh-completion-1.8.4-r4.apk
2024-05-19 02:22
4.1K
sing-box-openrc-1.8.4-r4.apk
2024-05-19 02:22
1.8K
sing-box-fish-completion-1.8.4-r4.apk
2024-05-19 02:22
4.3K
sing-box-bash-completion-1.8.4-r4.apk
2024-05-19 02:22
5.1K
sing-box-1.8.4-r4.apk
2024-05-19 02:22
9.8M
simpleble-dev-0.6.1-r1.apk
2023-07-29 21:37
21K
simpleble-0.6.1-r1.apk
2023-07-29 21:37
1.4K
simp1e-cursors-solarized-0_git20211003-r0.apk
2022-02-08 12:52
717K
simp1e-cursors-snow-0_git20211003-r0.apk
2022-02-08 12:52
828K
simp1e-cursors-dark-0_git20211003-r0.apk
2022-02-08 12:52
780K
simp1e-cursors-breeze-0_git20211003-r0.apk
2022-02-08 12:52
857K
simp1e-cursors-0_git20211003-r0.apk
2022-02-08 12:52
741K
simh-3.11.1-r1.apk
2022-10-25 01:23
2.6M
simgear-dev-2020.3.19-r1.apk
2024-04-22 19:49
394K
simgear-2020.3.19-r1.apk
2024-04-22 19:49
1.5M
silc-client-doc-1.1.11-r16.apk
2023-10-18 18:22
83K
silc-client-1.1.11-r16.apk
2023-10-18 18:22
740K
siji-ng-1.2.1-r0.apk
2022-08-30 16:37
20K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2021-02-03 22:33
13K
sigrok-cli-doc-0.7.2-r0.apk
2022-09-19 12:28
8.0K
sigrok-cli-0.7.2-r0.apk
2022-09-19 12:28
36K
sigma-pyc-0.23.1-r1.apk
2024-04-16 02:00
340K
sigma-0.23.1-r1.apk
2024-04-16 02:00
237K
shutdown-clear-machine-id-1.0.0-r0.apk
2023-08-21 12:45
1.8K
shntool-doc-3.0.10-r4.apk
2022-10-08 15:44
10K
shntool-3.0.10-r4.apk
2022-10-08 15:44
54K
shipments-0.3.0-r0.apk
2022-02-03 03:44
23K
shine-3.1.1-r0.apk
2020-07-23 02:01
50K
shfm-doc-0.4.2-r1.apk
2022-10-25 01:23
6.1K
shfm-0.4.2-r1.apk
2022-10-25 01:23
4.0K
shellinabox-openrc-2.21-r3.apk
2023-05-15 18:04
3.5K
shellinabox-doc-2.21-r3.apk
2023-05-15 18:04
19K
shellinabox-2.21-r3.apk
2023-05-15 18:04
115K
shc-4.0.3-r1.apk
2022-10-25 01:23
18K
sgt-puzzles-0_git20230310-r1.apk
2023-07-04 00:43
2.5M
sfwbar-doc-1.0_beta14-r0.apk
2024-01-04 21:58
22K
sfwbar-1.0_beta14-r0.apk
2024-01-04 21:58
183K
sflowtool-doc-6.02-r0.apk
2023-11-13 23:59
9.3K
sflowtool-6.02-r0.apk
2023-11-13 23:59
41K
setroot-doc-2.0.2-r1.apk
2022-10-25 01:23
4.4K
setroot-2.0.2-r1.apk
2022-10-25 01:23
11K
serialdv-libs-1.1.4-r0.apk
2023-02-22 12:38
49K
serialdv-dev-1.1.4-r0.apk
2023-02-22 12:38
5.4K
serialdv-1.1.4-r0.apk
2023-02-22 12:38
6.3K
sequoia-sqv-1.2.1-r0.apk
2024-04-21 20:48
601K
sequoia-chameleon-gnupg-0.9.0-r0.apk
2024-05-23 03:59
3.7M
sentinel-proxy-openrc-2.1.0-r0.apk
2023-11-18 18:32
2.3K
sentinel-proxy-dev-2.1.0-r0.apk
2023-11-18 18:32
4.3K
sentinel-proxy-2.1.0-r0.apk
2023-11-18 18:32
36K
sentinel-minipot-openrc-2.3.0-r1.apk
2023-12-13 19:13
2.6K
sentinel-minipot-2.3.0-r1.apk
2023-12-13 19:13
40K
sedutil-doc-1.15.1-r1.apk
2022-10-25 01:23
3.1K
sedutil-1.15.1-r1.apk
2022-10-25 01:23
173K
secsipidx-libs-1.3.2-r5.apk
2024-05-19 02:22
2.1M
secsipidx-dev-1.3.2-r5.apk
2024-05-19 02:22
4.3M
secsipidx-1.3.2-r5.apk
2024-05-19 02:22
2.3M
seaweedfs-openrc-3.63-r2.apk
2024-05-19 02:22
1.9K
seaweedfs-doc-3.63-r2.apk
2024-05-19 02:22
14K
seaweedfs-3.63-r2.apk
2024-05-19 02:22
18M
sdparm-doc-1.12-r1.apk
2022-10-25 01:23
19K
sdparm-1.12-r1.apk
2022-10-25 01:23
133K
scummvm-doc-2.8.1-r0.apk
2024-04-16 02:00
115K
scummvm-2.8.1-r0.apk
2024-04-16 02:00
97M
sct-2018.12.18-r1.apk
2021-10-28 22:50
3.4K
scrypt-doc-1.3.2-r0.apk
2023-10-03 11:43
4.3K
scrypt-1.3.2-r0.apk
2023-10-03 11:43
25K
screenkey-pyc-1.5-r6.apk
2024-04-16 02:00
73K
screenkey-doc-1.5-r6.apk
2024-04-16 02:00
11K
screenkey-1.5-r6.apk
2024-04-16 02:00
77K
scratch-doc-1.4.0.7-r1.apk
2022-10-25 01:23
2.6K
scratch-1.4.0.7-r1.apk
2022-10-25 01:23
39M
scooper-doc-1.3-r1.apk
2023-05-15 18:04
2.6K
scooper-1.3-r1.apk
2023-05-15 18:04
424K
schismtracker-doc-20231029-r0.apk
2023-11-19 14:18
6.2K
schismtracker-20231029-r0.apk
2023-11-19 14:18
345K
scap-workbench-doc-1.2.1-r2.apk
2023-02-13 15:26
1.6M
scap-workbench-1.2.1-r2.apk
2023-02-13 15:26
213K
scalingo-1.30.0-r3.apk
2024-05-19 02:22
4.8M
sc3-plugins-3.13.0-r1.apk
2023-02-24 14:24
8.0M
sc-im-doc-0.8.3-r0.apk
2023-03-15 18:02
4.8K
sc-im-0.8.3-r0.apk
2023-03-15 18:02
152K
sc-controller-pyc-0.4.8.13-r0.apk
2024-02-03 22:46
922K
sc-controller-0.4.8.13-r0.apk
2024-02-03 22:46
1.9M
sblim-wbemcli-doc-1.6.3-r1.apk
2022-10-25 01:23
4.5K
sblim-wbemcli-1.6.3-r1.apk
2022-10-25 01:23
90K
sblim-sfcc-doc-2.2.8-r2.apk
2023-05-15 18:04
35K
sblim-sfcc-dev-2.2.8-r2.apk
2023-05-15 18:04
22K
sblim-sfcc-2.2.8-r2.apk
2023-05-15 18:04
46K
sblg-doc-0.5.11-r0.apk
2023-02-06 20:30
1.3M
sblg-0.5.11-r0.apk
2023-02-06 20:30
33K
sbase-doc-0_git20210730-r2.apk
2022-10-25 01:23
58K
sbase-0_git20210730-r2.apk
2022-10-25 01:23
109K
sauerbraten-2020.12.29-r3.apk
2024-02-12 17:03
934M
satellite-openrc-1.0.0-r21.apk
2024-05-19 02:22
1.9K
satellite-doc-1.0.0-r21.apk
2024-05-19 02:22
3.0K
satellite-1.0.0-r21.apk
2024-05-19 02:22
1.9M
sane-airscan-doc-0.99.27-r1.apk
2023-04-29 19:30
5.6K
sane-airscan-0.99.27-r1.apk
2023-04-29 19:30
163K
sandbar-0.1-r0.apk
2023-07-02 19:38
14K
sacc-doc-1.07-r0.apk
2023-11-02 12:01
2.8K
sacc-1.07-r0.apk
2023-11-02 12:01
14K
s5cmd-2.2.2-r3.apk
2024-05-19 02:22
4.7M
s-postgray-doc-0.8.2-r0.apk
2024-03-15 20:09
9.3K
s-postgray-0.8.2-r0.apk
2024-03-15 20:09
38K
s-dkim-sign-doc-0.6.2-r0.apk
2024-06-02 16:54
8.5K
s-dkim-sign-0.6.2-r0.apk
2024-06-02 16:54
48K
ry-zsh-completion-0.5.2-r1.apk
2022-10-25 01:23
2.3K
ry-bash-completion-0.5.2-r1.apk
2022-10-25 01:23
2.0K
ry-0.5.2-r1.apk
2022-10-25 01:23
4.6K
rvlprog-0.91-r1.apk
2022-10-25 01:23
22K
ruuvi-prometheus-openrc-0.1.7-r3.apk
2024-05-19 02:22
1.7K
ruuvi-prometheus-0.1.7-r3.apk
2024-05-19 02:22
3.2M
rustypaste-cli-doc-0.9.0-r0.apk
2024-03-27 23:55
4.2K
rustypaste-cli-0.9.0-r0.apk
2024-03-27 23:55
734K
rustscan-2.2.3-r0.apk
2024-05-13 10:06
1.3M
rustic-zsh-completion-0.6.1-r1.apk
2024-01-07 21:31
9.4K
rustic-fish-completion-0.6.1-r1.apk
2024-01-07 21:31
12K
rustic-bash-completion-0.6.1-r1.apk
2024-01-07 21:31
6.4K
rustic-0.6.1-r1.apk
2024-01-07 21:31
2.6M
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-02-11 23:56
2.2K
rustdesk-server-1.1.10.3-r0.apk
2024-02-11 23:56
2.1M
rust-script-0.34.0-r0.apk
2023-09-27 23:45
792K
runst-doc-0.1.7-r0.apk
2024-03-27 02:09
7.7K
runst-0.1.7-r0.apk
2024-03-27 02:09
1.5M
ruff-lsp-pyc-0.0.53-r0.apk
2024-04-16 13:20
35K
ruff-lsp-0.0.53-r0.apk
2024-04-16 13:20
21K
rue-0.3.0-r1.apk
2022-08-20 13:17
42M
ruby-libguestfs-1.52.0-r1.apk
2024-04-16 02:00
101K
ruby-build-runtime-20240423-r0.apk
2024-05-01 00:03
1.5K
ruby-build-doc-20240423-r0.apk
2024-05-01 00:03
4.6K
ruby-build-20240423-r0.apk
2024-05-01 00:03
105K
rtw89-src-7_p20230725-r0.apk
2023-07-26 08:23
759K
rtptools-doc-1.22-r2.apk
2022-10-25 01:23
13K
rtptools-1.22-r2.apk
2022-10-25 01:23
37K
rtmidi-dev-6.0.0-r0.apk
2023-08-07 11:01
14K
rtmidi-6.0.0-r0.apk
2023-08-07 11:01
27K
rtl8812au-src-5.6.4.2_git20231103-r0.apk
2023-12-12 07:31
2.6M
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2023-07-26 08:23
4.0M
rtl-power-fftw-doc-20200601-r4.apk
2024-04-24 22:46
8.2K
rtl-power-fftw-20200601-r4.apk
2024-04-24 22:46
57K
rsstail-doc-2.1-r1.apk
2022-10-25 01:23
2.6K
rsstail-2.1-r1.apk
2022-10-25 01:23
7.4K
rss-email-doc-0.5.0-r0.apk
2024-04-26 15:50
6.4K
rss-email-0.5.0-r0.apk
2024-04-26 15:50
1.8M
rpg-cli-1.0.1-r1.apk
2023-05-24 07:50
498K
roswell-doc-22.12.14.113-r0.apk
2023-02-03 08:26
18K
roswell-22.12.14.113-r0.apk
2023-02-03 08:26
110K
rosdep-pyc-0.19.0-r5.apk
2024-04-16 02:00
119K
rosdep-0.19.0-r5.apk
2024-04-16 02:00
64K
rofi-pass-doc-2.0.2-r2.apk
2021-12-29 21:51
5.0K
rofi-pass-2.0.2-r2.apk
2021-12-29 21:51
8.8K
rofi-json-menu-0.2.0-r0.apk
2022-02-01 21:25
4.4K
rofi-blocks-0_git20210123-r0.apk
2022-02-01 19:26
10K
rnote-lang-0.10.2-r0.apk
2024-04-06 22:33
338K
rnote-cli-0.10.2-r0.apk
2024-04-06 22:33
3.1M
rnote-0.10.2-r0.apk
2024-04-06 22:33
8.5M
rmlint-shredder-pyc-2.10.2-r1.apk
2024-04-16 02:00
125K
rmlint-shredder-2.10.2-r1.apk
2024-04-16 02:00
96K
rmlint-lang-2.10.2-r1.apk
2024-04-16 02:00
19K
rmlint-doc-2.10.2-r1.apk
2024-04-16 02:00
18K
rmlint-2.10.2-r1.apk
2024-04-16 02:00
134K
rlottie-doc-0.2_git20230831-r0.apk
2023-12-18 15:55
13K
rlottie-dev-0.2_git20230831-r0.apk
2023-12-18 15:55
9.4K
rlottie-0.2_git20230831-r0.apk
2023-12-18 15:55
156K
rke-doc-1.4.3-r8.apk
2024-05-19 02:22
2.9K
rke-1.4.3-r8.apk
2024-05-19 02:22
19M
rkdeveloptool-doc-1.1.0-r0.apk
2022-02-03 03:09
2.7K
rkdeveloptool-1.1.0-r0.apk
2022-02-03 03:09
48K
rizin-libs-0.6.3-r0.apk
2023-10-18 17:52
3.7M
rizin-doc-0.6.3-r0.apk
2023-10-18 17:52
18K
rizin-dev-0.6.3-r0.apk
2023-10-18 17:52
306K
rizin-cutter-dev-2.3.2-r2.apk
2024-04-16 18:48
108K
rizin-cutter-2.3.2-r2.apk
2024-04-16 18:48
2.0M
rizin-0.6.3-r0.apk
2023-10-18 17:52
2.7M
ripdrag-0.4.8-r0.apk
2024-04-28 12:25
309K
ripasso-cursive-0.6.5-r0.apk
2023-07-09 23:30
2.6M
rio-terminfo-0.0.36-r0.apk
2024-03-15 13:04
3.4K
rio-0.0.36-r0.apk
2024-03-15 13:04
6.8M
rinetd-openrc-0.73-r0.apk
2023-12-10 03:25
1.7K
rinetd-doc-0.73-r0.apk
2023-12-10 03:25
16K
rinetd-0.73-r0.apk
2023-12-10 03:25
13K
rime-ls-0.3.0-r0.apk
2024-05-08 14:21
1.1M
riemann-cli-0.8.0-r2.apk
2023-05-24 07:50
476K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-04-16 02:00
73K
rhasspy-nlu-0.4.0-r3.apk
2024-04-16 02:00
44K
rgxg-doc-0.1.2-r2.apk
2023-05-15 18:04
12K
rgxg-dev-0.1.2-r2.apk
2023-05-15 18:04
3.5K
rgxg-0.1.2-r2.apk
2023-05-15 18:04
13K
rezolus-openrc-2.11.1-r3.apk
2023-05-24 07:50
2.1K
rezolus-doc-2.11.1-r3.apk
2023-05-24 07:50
3.4K
rezolus-2.11.1-r3.apk
2023-05-24 07:50
784K
restinio-dev-0.6.17-r6.apk
2024-04-22 19:49
273K
restinio-0.6.17-r6.apk
2024-04-22 19:49
1.5K
restic.mk-0.4.0-r0.apk
2023-05-13 19:58
2.9K
restart-services-doc-0.17.0-r0.apk
2021-12-18 23:53
5.9K
restart-services-0.17.0-r0.apk
2021-12-18 23:53
12K
responder-3.1.4.0-r0.apk
2024-01-05 22:29
749K
reredirect-doc-0.3-r0.apk
2022-08-06 10:50
2.8K
reredirect-0.3-r0.apk
2022-08-06 10:50
7.2K
repowerd-openrc-2023.07-r1.apk
2024-05-07 22:13
1.7K
repowerd-2023.07-r1.apk
2024-05-07 22:13
797K
repo-doc-2.42-r0.apk
2024-03-11 07:24
40K
repo-2.42-r0.apk
2024-03-11 07:24
17K
repgrep-zsh-completion-0.15.0-r0.apk
2024-01-04 22:03
1.6K
repgrep-fish-completion-0.15.0-r0.apk
2024-01-04 22:03
4.1K
repgrep-doc-0.15.0-r0.apk
2024-01-04 22:03
6.5K
repgrep-bash-completion-0.15.0-r0.apk
2024-01-04 22:03
1.6K
repgrep-0.15.0-r0.apk
2024-01-04 22:03
1.1M
remind-caldav-pyc-0.8.0-r3.apk
2024-04-16 02:00
6.2K
remind-caldav-0.8.0-r3.apk
2024-04-16 02:00
6.5K
remake-make-1.5-r1.apk
2022-10-25 01:23
1.5K
remake-doc-1.5-r1.apk
2022-10-25 01:23
202K
remake-dev-1.5-r1.apk
2022-10-25 01:23
2.9K
remake-1.5-r1.apk
2022-10-25 01:23
124K
release-plz-zsh-completion-0.3.70-r0.apk
2024-05-28 06:49
4.2K
release-plz-fish-completion-0.3.70-r0.apk
2024-05-28 06:49
3.6K
release-plz-doc-0.3.70-r0.apk
2024-05-28 06:49
4.1K
release-plz-bash-completion-0.3.70-r0.apk
2024-05-28 06:49
2.7K
release-plz-0.3.70-r0.apk
2024-05-28 06:49
5.5M
regal-zsh-completion-0.21.3-r1.apk
2024-05-19 02:22
4.0K
regal-fish-completion-0.21.3-r1.apk
2024-05-19 02:22
4.3K
regal-bash-completion-0.21.3-r1.apk
2024-05-19 02:22
5.0K
regal-0.21.3-r1.apk
2024-05-19 02:22
7.0M
reg-0.16.1-r21.apk
2024-05-19 02:22
4.1M
recyclarr-cli-6.0.2-r1.apk
2024-02-14 17:07
2.5M
recoll-doc-1.37.5-r1.apk
2024-04-16 02:00
21K
recoll-dev-1.37.5-r1.apk
2024-04-16 02:00
53K
recoll-1.37.5-r1.apk
2024-04-16 02:00
2.6M
reaver-wps-fork-t6x-1.6.6-r1.apk
2022-10-25 01:23
346K
reason-rtop-3.8.2-r1.apk
2024-03-23 21:42
24M
reason-3.8.2-r1.apk
2024-03-23 21:42
18M
readosm-dev-1.1.0-r2.apk
2021-09-28 00:25
17K
readosm-1.1.0-r2.apk
2021-09-28 00:25
12K
reaction-tools-1.4.0-r0.apk
2024-05-31 12:40
17K
reaction-openrc-1.4.0-r0.apk
2024-05-31 12:40
1.8K
reaction-1.4.0-r0.apk
2024-05-31 12:40
1.9M
rdrview-doc-0.1.1-r0.apk
2024-03-11 07:24
3.7K
rdrview-0.1.1-r0.apk
2024-03-11 07:24
24K
rdedup-3.2.1-r4.apk
2023-10-22 05:37
971K
rcon-cli-1.6.2-r4.apk
2024-05-19 02:22
2.3M
rclone-browser-1.8.0-r1.apk
2022-10-25 01:23
313K
rbw-zsh-completion-1.10.0-r0.apk
2024-04-25 03:47
3.9K
rbw-fish-completion-1.10.0-r0.apk
2024-04-25 03:47
3.1K
rbw-bash-completion-1.10.0-r0.apk
2024-04-25 03:47
3.1K
rbw-1.10.0-r0.apk
2024-04-25 03:47
2.9M
razercfg-pyc-0.42-r6.apk
2024-04-16 02:00
36K
razercfg-openrc-0.42-r6.apk
2024-04-16 02:00
1.7K
razercfg-gui-0.42-r6.apk
2024-04-16 02:00
19K
razercfg-0.42-r6.apk
2024-04-16 02:00
68K
rauc-service-1.10.1-r0.apk
2023-08-08 19:38
3.7K
rauc-doc-1.10.1-r0.apk
2023-08-08 19:38
4.1K
rauc-1.10.1-r0.apk
2023-08-08 19:38
140K
rattler-build-zsh-completion-0.16.2-r0.apk
2024-05-24 23:42
5.4K
rattler-build-fish-completion-0.16.2-r0.apk
2024-05-24 23:42
4.6K
rattler-build-doc-0.16.2-r0.apk
2024-05-24 23:42
6.7K
rattler-build-bash-completion-0.16.2-r0.apk
2024-05-24 23:42
3.6K
rattler-build-0.16.2-r0.apk
2024-05-24 23:42
5.0M
rathole-0.5.0-r0.apk
2023-10-05 22:23
1.3M
raspi2png-0.0.20190727-r0.apk
2020-07-23 02:01
5.9K
raspberrypi-usbboot-20210701-r2.apk
2023-02-03 08:35
1.5M
rapidfuzz-3.0.0-r0.apk
2024-01-04 21:58
63K
rankwidth-static-0.9-r3.apk
2023-08-01 16:54
3.7K
rankwidth-libs-0.9-r3.apk
2023-08-01 16:54
4.6K
rankwidth-doc-0.9-r3.apk
2023-08-01 16:54
3.0K
rankwidth-dev-0.9-r3.apk
2023-08-01 16:54
2.9K
rankwidth-0.9-r3.apk
2023-08-01 16:54
4.9K
randrctl-pyc-1.9.0-r5.apk
2024-04-16 02:00
32K
randrctl-1.9.0-r5.apk
2024-04-16 02:00
16K
railway-lang-2.5.0-r0.apk
2024-05-03 21:46
46K
railway-2.5.0-r0.apk
2024-05-03 21:46
1.6M
rage-zsh-completion-0.9.2-r1.apk
2023-07-02 19:38
2.3K
rage-fish-completion-0.9.2-r1.apk
2023-07-02 19:38
2.0K
rage-doc-0.9.2-r1.apk
2023-07-02 19:38
3.8K
rage-bash-completion-0.9.2-r1.apk
2023-07-02 19:38
2.4K
rage-0.9.2-r1.apk
2023-07-02 19:38
2.1M
radio-cli-2.3.1-r0.apk
2024-01-25 21:24
1.2M
radarr-openrc-5.6.0.8846-r0.apk
2024-05-17 14:47
2.1K
radarr-5.6.0.8846-r0.apk
2024-05-17 14:47
23M
racksdb-pyc-0.4.0-r0.apk
2024-05-23 11:34
89K
racksdb-doc-0.4.0-r0.apk
2024-05-23 11:34
18K
racksdb-0.4.0-r0.apk
2024-05-23 11:34
59K
quodlibet-zsh-completion-4.6.0-r1.apk
2024-04-16 02:00
2.7K
quodlibet-pyc-4.6.0-r1.apk
2024-04-16 02:00
1.8M
quodlibet-lang-4.6.0-r1.apk
2024-04-16 02:00
1.2M
quodlibet-doc-4.6.0-r1.apk
2024-04-16 02:00
8.7K
quodlibet-bash-completion-4.6.0-r1.apk
2024-04-16 02:00
4.7K
quodlibet-4.6.0-r1.apk
2024-04-16 02:00
1.0M
queercat-1.0.0-r0.apk
2023-08-17 22:38
7.7K
qucs-s-lang-1.1.0-r1.apk
2023-08-01 16:54
865K
qucs-s-doc-1.1.0-r1.apk
2023-08-01 16:54
2.3K
qucs-s-1.1.0-r1.apk
2023-08-01 16:54
3.0M
quakespasm-0.96.1-r0.apk
2024-01-05 22:31
423K
qtpass-doc-1.4.0-r0.apk
2023-11-03 09:38
2.1K
qtpass-1.4.0-r0.apk
2023-11-03 09:38
409K
qtox-1.17.6-r6.apk
2024-01-20 18:38
5.0M
qtmir-dev-0.7.2-r0.apk
2024-01-28 18:59
6.9K
qtmir-0.7.2-r0.apk
2024-01-28 18:59
470K
qtile-pyc-0.23.0-r1.apk
2024-04-16 02:00
724K
qtile-0.23.0-r1.apk
2024-04-16 02:00
375K
qt6ct-0.9-r0.apk
2023-10-23 04:39
182K
qt5ct-dev-1.7-r0.apk
2023-04-18 13:42
1.5K
qt5ct-1.7-r0.apk
2023-04-18 13:42
203K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2023-04-24 15:19
3.9K
qt-wayland-shell-helpers-0.1.1-r3.apk
2023-04-24 15:19
12K
qt-jdenticon-doc-0.3.0-r0.apk
2024-04-27 23:46
2.2K
qt-jdenticon-0.3.0-r0.apk
2024-04-27 23:46
27K
qsynth-doc-0.9.13-r0.apk
2024-02-03 21:58
4.4K
qsynth-0.9.13-r0.apk
2024-02-03 21:58
378K
qstardict-doc-1.3-r1.apk
2022-10-25 01:23
11K
qstardict-1.3-r1.apk
2022-10-25 01:23
460K
qsstv-9.5.8-r2.apk
2023-03-17 20:00
826K
qspectrumanalyzer-pyc-2.2.0-r4.apk
2024-04-16 02:00
62K
qspectrumanalyzer-2.2.0-r4.apk
2024-04-16 02:00
40K
qqc2-suru-style-0.20230206-r0.apk
2023-12-17 23:08
170K
qperf-doc-0.4.11-r1.apk
2022-10-25 01:23
5.5K
qperf-0.4.11-r1.apk
2022-10-25 01:23
31K
qpdfview-doc-0.5-r0.apk
2023-02-13 15:24
4.3K
qpdfview-0.5-r0.apk
2023-02-13 15:24
958K
qownnotes-lang-23.6.6-r0.apk
2023-07-02 19:38
4.4M
qownnotes-23.6.6-r0.apk
2023-07-02 19:38
2.2M
qoiconv-0.0.0_git20230312-r0.apk
2023-03-17 08:14
25K
qoi-dev-0.0.0_git20230312-r0.apk
2023-03-17 08:14
6.8K
qoi-0.0.0_git20230312-r0.apk
2023-03-17 08:14
1.5K
qml-box2d-0_git20180406-r0.apk
2020-07-29 06:18
113K
qml-asteroid-dev-2.0.0-r0.apk
2023-08-31 11:41
11K
qml-asteroid-dbg-2.0.0-r0.apk
2023-08-31 11:41
1.9M
qml-asteroid-2.0.0-r0.apk
2023-08-31 11:41
62K
qgis-server-3.28.12-r6.apk
2024-05-19 02:22
1.5M
qgis-lang-3.28.12-r6.apk
2024-05-19 02:22
30M
qgis-grass-3.28.12-r6.apk
2024-05-19 02:22
1.5M
qgis-doc-3.28.12-r6.apk
2024-05-19 02:22
3.0K
qgis-dev-3.28.12-r6.apk
2024-05-19 02:22
2.5M
qgis-3.28.12-r6.apk
2024-05-19 02:22
43M
qflipper-gui-1.3.3-r0.apk
2023-11-16 00:42
1.0M
qflipper-1.3.3-r0.apk
2023-11-16 00:42
402K
qdjango-dev-0.6.2-r0.apk
2023-11-04 17:55
14K
qdjango-0.6.2-r0.apk
2023-11-04 17:55
88K
qbittorrent-cli-2.0.0-r4.apk
2024-05-19 02:22
4.8M
pyradio-pyc-0.9.3.4-r0.apk
2024-05-04 13:11
792K
pyradio-doc-0.9.3.4-r0.apk
2024-05-04 13:11
108K
pyradio-0.9.3.4-r0.apk
2024-05-04 13:11
888K
pypykatz-pyc-0.6.9-r1.apk
2024-04-16 02:00
698K
pypykatz-0.6.9-r1.apk
2024-04-16 02:00
314K
pympress-pyc-1.8.5-r1.apk
2024-04-16 02:00
182K
pympress-lang-1.8.5-r1.apk
2024-04-16 02:00
56K
pympress-doc-1.8.5-r1.apk
2024-04-16 02:00
348K
pympress-1.8.5-r1.apk
2024-04-16 02:00
181K
py3-zope-schema-pyc-7.0.1-r2.apk
2024-04-16 02:00
61K
py3-zope-schema-7.0.1-r2.apk
2024-04-16 02:00
43K
py3-zope-i18nmessageid-pyc-6.1.0-r1.apk
2024-04-16 02:00
8.0K
py3-zope-i18nmessageid-6.1.0-r1.apk
2024-04-16 02:00
14K
py3-zope-configuration-pyc-5.0.1-r2.apk
2024-04-16 02:00
49K
py3-zope-configuration-5.0.1-r2.apk
2024-04-16 02:00
39K
py3-zimscraperlib-pyc-3.2.0-r0.apk
2024-01-21 16:57
70K
py3-zimscraperlib-3.2.0-r0.apk
2024-01-21 16:57
50K
py3-zfs-autobackup-pyc-3.2.2-r1.apk
2024-04-16 02:00
70K
py3-zfs-autobackup-3.2.2-r1.apk
2024-04-16 02:00
56K
py3-youtube-search-pyc-1.6.6-r3.apk
2024-04-17 04:54
96K
py3-youtube-search-1.6.6-r3.apk
2024-04-17 04:54
77K
py3-yosys-0.36-r3.apk
2024-04-22 17:52
1.8K
py3-yara-4.5.1-r0.apk
2024-05-30 11:14
16K
py3-yapsy-pyc-1.12.2-r7.apk
2024-04-16 02:00
47K
py3-yapsy-1.12.2-r7.apk
2024-04-16 02:00
32K
py3-xsdata-pyc-24.5-r0.apk
2024-05-10 09:25
393K
py3-xsdata-24.5-r0.apk
2024-05-10 09:25
185K
py3-xlwt-pyc-1.3.0-r9.apk
2024-04-16 02:00
166K
py3-xlwt-1.3.0-r9.apk
2024-04-16 02:00
95K
py3-xapp-2.4.1-r1.apk
2024-04-16 02:00
34K
py3-x-wr-timezone-pyc-0.0.7-r1.apk
2024-04-16 02:00
6.4K
py3-x-wr-timezone-0.0.7-r1.apk
2024-04-16 02:00
11K
py3-wtf-peewee-pyc-3.0.5-r1.apk
2024-04-16 02:00
25K
py3-wtf-peewee-3.0.5-r1.apk
2024-04-16 02:00
12K
py3-wstools-pyc-0.4.10-r6.apk
2024-04-16 02:00
111K
py3-wstools-0.4.10-r6.apk
2024-04-16 02:00
52K
py3-wsgiprox-pyc-1.5.2-r0.apk
2023-10-29 01:53
30K
py3-wsgiprox-1.5.2-r0.apk
2023-10-29 01:53
17K
py3-winacl-pyc-0.1.9-r0.apk
2024-05-13 10:06
132K
py3-winacl-0.1.9-r0.apk
2024-05-13 10:06
84K
py3-wifi-pyc-0.3.8-r6.apk
2024-04-16 02:00
14K
py3-wifi-0.3.8-r6.apk
2024-04-16 02:00
12K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-04-16 02:00
13K
py3-wg-netns-2.3.1-r1.apk
2024-04-16 02:00
7.6K
py3-wbdata-pyc-1.0.0-r1.apk
2024-04-16 02:00
20K
py3-wbdata-1.0.0-r1.apk
2024-04-16 02:00
18K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-04-16 02:00
80K
py3-ward-0.67.0_beta0-r2.apk
2024-04-16 02:00
41K
py3-visitor-pyc-0.1.3-r6.apk
2024-04-16 02:00
2.7K
py3-visitor-0.1.3-r6.apk
2024-04-16 02:00
4.2K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
2024-04-16 02:00
12K
py3-virtualenvwrapper-6.1.0-r1.apk
2024-04-16 02:00
22K
py3-venusian-pyc-3.1.0-r1.apk
2024-04-16 02:00
13K
py3-venusian-3.1.0-r1.apk
2024-04-16 02:00
14K
py3-vdf-pyc-3.4-r1.apk
2024-04-16 02:00
17K
py3-vdf-3.4-r1.apk
2024-04-16 02:00
11K
py3-vatnumber-pyc-1.2-r8.apk
2024-04-16 02:00
8.6K
py3-vatnumber-1.2-r8.apk
2024-04-16 02:00
6.3K
py3-uv-pyc-0.2.9-r0.apk
2024-06-08 01:02
3.5K
py3-uv-0.2.9-r0.apk
2024-06-08 01:02
19K
py3-utils-pyc-3.8.1-r1.apk
2024-04-16 02:00
37K
py3-utils-3.8.1-r1.apk
2024-04-16 02:00
25K
py3-utc-pyc-0.0.3-r8.apk
2024-04-16 02:00
2.8K
py3-utc-0.0.3-r8.apk
2024-04-16 02:00
3.3K
py3-us-pyc-3.1.1-r1.apk
2024-04-16 02:00
15K
py3-us-3.1.1-r1.apk
2024-04-16 02:00
13K
py3-urlobject-pyc-2.4.3-r8.apk
2024-04-16 02:00
25K
py3-urlobject-2.4.3-r8.apk
2024-04-16 02:00
14K
py3-uritools-pyc-4.0.2-r1.apk
2024-04-16 02:00
14K
py3-uritools-4.0.2-r1.apk
2024-04-16 02:00
11K
py3-uptime-pyc-3.0.1-r8.apk
2024-04-16 02:00
8.7K
py3-uptime-3.0.1-r8.apk
2024-04-16 02:00
8.5K
py3-untokenize-pyc-0.1.1-r3.apk
2024-04-16 02:00
2.8K
py3-untokenize-0.1.1-r3.apk
2024-04-16 02:00
4.2K
py3-unoconv-0.9.0-r0.apk
2022-12-23 01:02
19K
py3-unidns-pyc-0.0.1-r2.apk
2024-04-16 02:00
22K
py3-unidns-examples-0.0.1-r2.apk
2024-04-16 02:00
2.7K
py3-unidns-0.0.1-r2.apk
2024-04-16 02:00
14K
py3-unicrypto-pyc-0.0.10-r2.apk
2024-04-16 02:00
94K
py3-unicrypto-0.0.10-r2.apk
2024-04-16 02:00
61K
py3-unicorn-hat-2.1.2-r5.apk
2022-11-24 22:25
8.7K
py3-unearth-pyc-0.15.3-r0.apk
2024-05-29 00:45
81K
py3-unearth-0.15.3-r0.apk
2024-05-29 00:45
41K
py3-uc-micro-py-1.0.2-r1.apk
2024-04-16 02:00
9.1K
py3-u-msgpack-pyc-2.8.0-r1.apk
2024-04-17 04:54
16K
py3-u-msgpack-2.8.0-r1.apk
2024-04-17 04:54
10K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-04-16 02:00
14K
py3-typing_inspect-0.9.0-r2.apk
2024-04-16 02:00
9.9K
py3-twiggy-pyc-0.5.1-r3.apk
2024-04-16 02:00
39K
py3-twiggy-0.5.1-r3.apk
2024-04-16 02:00
23K
py3-truststore-pyc-0.9.1-r0.apk
2024-06-03 22:42
24K
py3-truststore-0.9.1-r0.apk
2024-06-03 22:42
17K
py3-trivup-pyc-0.12.2-r1.apk
2024-04-16 02:00
55K
py3-trivup-0.12.2-r1.apk
2024-04-16 02:00
33K
py3-translationstring-pyc-1.4-r3.apk
2024-04-16 02:00
8.8K
py3-translationstring-1.4-r3.apk
2024-04-16 02:00
7.9K
py3-transitions-pyc-0.9.0-r3.apk
2024-04-16 02:00
115K
py3-transitions-0.9.0-r3.apk
2024-04-16 02:00
85K
py3-toposort-pyc-1.10-r3.apk
2024-04-16 02:00
3.7K
py3-toposort-1.10-r3.apk
2024-04-16 02:00
9.5K
py3-tokenizers-pyc-0.15.2-r1.apk
2024-04-16 02:00
29K
py3-tokenizers-0.15.2-r1.apk
2024-04-16 02:00
1.3M
py3-tlslite-ng-pyc-0.7.6-r7.apk
2024-04-16 02:00
275K
py3-tlslite-ng-0.7.6-r7.apk
2024-04-16 02:00
166K
py3-tls_parser-pyc-2.0.1-r1.apk
2024-04-16 02:00
17K
py3-tls_parser-2.0.1-r1.apk
2024-04-16 02:00
9.2K
py3-timeago-pyc-1.0.16-r0.apk
2024-05-04 14:29
28K
py3-timeago-doc-1.0.16-r0.apk
2024-05-04 14:29
2.8K
py3-timeago-1.0.16-r0.apk
2024-05-04 14:29
24K
py3-tidalapi-pyc-0.7.4-r1.apk
2024-04-16 02:00
62K
py3-tidalapi-0.7.4-r1.apk
2024-04-16 02:00
36K
py3-ticket-auth-pyc-0.1.4-r8.apk
2024-04-16 02:00
6.4K
py3-ticket-auth-0.1.4-r8.apk
2024-04-16 02:00
5.7K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-04-16 02:00
9.0K
py3-thefuzz-0.22.1-r1.apk
2024-04-16 02:00
10K
py3-tg-pyc-0.19.0-r4.apk
2024-04-16 02:00
81K
py3-tg-0.19.0-r4.apk
2024-04-16 02:00
36K
py3-textual-pyc-0.47.1-r1.apk
2024-04-16 02:00
871K
py3-textual-0.47.1-r1.apk
2024-04-16 02:00
439K
py3-testresources-pyc-2.0.1-r5.apk
2023-04-25 10:59
17K
py3-testresources-2.0.1-r5.apk
2023-04-25 10:59
16K
py3-teletype-pyc-1.3.4-r3.apk
2024-04-16 02:00
21K
py3-teletype-1.3.4-r3.apk
2024-04-16 02:00
15K
py3-telemetrix-pyc-1.20-r2.apk
2024-04-16 02:00
31K
py3-telemetrix-1.20-r2.apk
2024-04-16 02:00
21K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-04-16 02:00
13K
py3-telegram-text-0.2.0-r1.apk
2024-04-16 02:00
9.2K
py3-telegram-pyc-0.18.0-r2.apk
2024-04-16 02:00
21K
py3-telegram-bot-pyc-20.8-r1.apk
2024-04-16 02:00
654K
py3-telegram-bot-20.8-r1.apk
2024-04-16 02:00
386K
py3-telegram-0.18.0-r2.apk
2024-04-16 02:00
13K
py3-tasklib-pyc-2.5.1-r2.apk
2024-04-16 02:00
52K
py3-tasklib-2.5.1-r2.apk
2024-04-16 02:00
23K
py3-tailer-pyc-0.4.1-r7.apk
2024-04-16 02:00
6.6K
py3-tailer-0.4.1-r7.apk
2024-04-16 02:00
6.9K
py3-syrupy-pyc-4.6.1-r1.apk
2024-04-16 02:00
70K
py3-syrupy-4.6.1-r1.apk
2024-04-16 02:00
43K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-04-16 02:00
2.1K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-04-16 02:00
2.5M
py3-svgpath-pyc-6.3-r2.apk
2024-04-16 02:00
22K
py3-svgpath-6.3-r2.apk
2024-04-16 02:00
17K
py3-stringcase-pyc-1.2.0-r8.apk
2024-04-13 19:04
3.9K
py3-stringcase-1.2.0-r8.apk
2024-04-13 19:04
4.8K
py3-sstash-pyc-0.17-r8.apk
2024-04-16 02:00
10K
py3-sstash-0.17-r8.apk
2024-04-16 02:00
7.5K
py3-spotipy-pyc-2.23.0-r2.apk
2024-04-16 02:00
49K
py3-spotipy-2.23.0-r2.apk
2024-04-16 02:00
28K
py3-spnego-pyc-0.10.2-r1.apk
2024-04-16 02:00
219K
py3-spnego-0.10.2-r1.apk
2024-04-16 02:00
119K
py3-spinners-pyc-0.0.24-r4.apk
2024-04-16 02:00
6.3K
py3-spinners-0.0.24-r4.apk
2024-04-16 02:00
5.7K
py3-spin-pyc-0.8-r0.apk
2024-04-13 19:04
24K
py3-spin-0.8-r0.apk
2024-04-13 19:04
19K
py3-spidev-3.6-r0.apk
2022-12-09 15:05
13K
py3-sphobjinv-pyc-2.3.1.1-r0.apk
2024-05-22 16:09
52K
py3-sphobjinv-2.3.1.1-r0.apk
2024-05-22 16:09
40K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-04-16 02:00
5.7K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-04-16 02:00
6.1K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-04-16 02:00
4.9K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-04-16 02:00
7.3K
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk
2024-04-16 02:00
19K
py3-sphinxcontrib-spelling-8.0.0-r3.apk
2024-04-16 02:00
15K
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk
2024-04-16 02:00
5.5K
py3-sphinxcontrib-slide-1.0.0-r3.apk
2024-04-16 02:00
5.0K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-04-16 02:00
9.3K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-04-16 02:00
7.6K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-04-16 02:00
20K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-04-16 02:00
11K
py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk
2024-04-16 02:00
24K
py3-sphinxcontrib-programoutput-0.17-r4.apk
2024-04-16 02:00
16K
py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk
2024-04-16 02:00
17K
py3-sphinxcontrib-phpdomain-0.11.2-r1.apk
2024-04-16 02:00
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-04-16 02:00
11K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-04-16 02:00
8.7K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-04-16 02:00
3.1K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-04-16 02:00
4.1K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-04-16 02:00
11K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-04-16 02:00
7.9K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-04-16 02:00
12K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-04-16 02:00
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-04-16 02:00
15K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-04-16 02:00
11K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-04-16 02:00
44K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-04-16 02:00
21K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-04-16 02:00
34K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-04-16 02:00
4.3K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-04-16 02:00
18K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-04-16 02:00
15K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-04-16 02:00
11K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk
2024-04-16 02:00
7.6K
py3-sphinxcontrib-gravatar-0.1.2-r7.apk
2024-04-16 02:00
6.7K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-04-16 02:00
6.6K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-04-16 02:00
17K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-04-16 02:00
3.3K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-04-16 02:00
3.9K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-04-16 02:00
3.5K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-04-16 02:00
9.1K
py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk
2024-04-16 02:00
16K
py3-sphinxcontrib-doxylink-1.12.2-r2.apk
2024-04-16 02:00
13K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-04-16 02:00
34K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-04-16 02:00
18K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-04-16 02:00
4.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-04-16 02:00
5.7K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-04-16 02:00
9.2K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-04-16 02:00
7.5K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-04-16 02:00
4.1K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-04-16 02:00
5.7K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-04-16 02:00
12K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-04-16 02:00
9.0K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk
2023-04-25 10:59
9.7K
py3-sphinxcontrib-actdiag-3.0.0-r2.apk
2023-04-25 10:59
6.6K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-04-16 02:00
2.2K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-04-16 02:00
8.8K
py3-sphinx-theme-quark-pyc-0.6.0-r2.apk
2023-04-25 10:59
8.4K
py3-sphinx-theme-quark-0.6.0-r2.apk
2023-04-25 10:59
10K
py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk
2024-04-16 02:00
5.5K
py3-sphinx-theme-guzzle-0.7.11-r6.apk
2024-04-16 02:00
2.4M
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-04-16 02:00
2.5K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-04-16 02:00
30K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-04-16 02:00
43K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-04-16 02:00
81K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-04-16 02:00
1.8K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-04-16 02:00
65K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk
2024-04-16 02:00
2.4K
py3-sphinx-theme-bootstrap-0.8.1-r3.apk
2024-04-16 02:00
1.2M
py3-sphinx-theme-better-pyc-0.1.5-r6.apk
2024-04-16 02:00
2.0K
py3-sphinx-theme-better-0.1.5-r6.apk
2024-04-16 02:00
9.1K
py3-sphinx-autodoc-typehints-pyc-2.1.1-r0.apk
2024-06-04 11:47
28K
py3-sphinx-autodoc-typehints-2.1.1-r0.apk
2024-06-04 11:47
19K
py3-sphinx-autoapi-pyc-3.1.1-r0.apk
2024-05-27 16:53
56K
py3-sphinx-autoapi-3.1.1-r0.apk
2024-05-27 16:53
31K
py3-sphinx-argparse-pyc-0.4.0-r3.apk
2024-04-16 02:00
22K
py3-sphinx-argparse-0.4.0-r3.apk
2024-04-16 02:00
14K
py3-sortedcollections-pyc-2.1.0-r4.apk
2024-04-16 02:00
14K
py3-sortedcollections-2.1.0-r4.apk
2024-04-16 02:00
10K
py3-soapy_power-pyc-1.6.1-r4.apk
2024-04-16 02:00
27K
py3-soapy_power-1.6.1-r4.apk
2024-04-16 02:00
17K
py3-soappy-pyc-0.52.28-r2.apk
2024-04-16 02:00
96K
py3-soappy-0.52.28-r2.apk
2024-04-16 02:00
47K
py3-snapshottest-pyc-0.6.0-r4.apk
2024-04-19 16:05
26K
py3-snapshottest-0.6.0-r4.apk
2024-04-19 16:05
14K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-04-15 01:31
729K
py3-slixmpp-doc-1.8.5-r2.apk
2024-04-15 01:31
5.8K
py3-slixmpp-1.8.5-r2.apk
2024-04-15 01:31
381K
py3-slidge-style-parser-pyc-0.1.6-r1.apk
2024-04-16 02:00
2.0K
py3-slidge-style-parser-0.1.6-r1.apk
2024-04-16 02:00
180K
py3-simplespectral-pyc-1.0.0-r4.apk
2024-04-16 02:00
8.1K
py3-simplespectral-1.0.0-r4.apk
2024-04-16 02:00
6.6K
py3-simplesoapy-pyc-1.5.1-r6.apk
2024-04-16 02:00
12K
py3-simplesoapy-1.5.1-r6.apk
2024-04-16 02:00
6.9K
py3-simplematch-pyc-1.4-r1.apk
2024-04-16 02:00
5.8K
py3-simplematch-1.4-r1.apk
2024-04-16 02:00
8.0K
py3-simber-pyc-0.2.6-r3.apk
2024-04-16 02:00
16K
py3-simber-0.2.6-r3.apk
2024-04-16 02:00
11K
py3-shodan-pyc-1.31.0-r1.apk
2024-04-16 02:00
79K
py3-shodan-doc-1.31.0-r1.apk
2024-04-16 02:00
7.2K
py3-shodan-1.31.0-r1.apk
2024-04-16 02:00
44K
py3-sh-pyc-2.0.6-r1.apk
2024-04-16 02:00
55K
py3-sh-2.0.6-r1.apk
2024-04-16 02:00
38K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-04-16 02:00
6.0K
py3-setuptools-lint-0.6.0-r9.apk
2024-04-16 02:00
5.4K
py3-setuptools-declarative-requirements-pyc-1.3.0-r3.apk
2024-04-16 02:00
4.9K
py3-setuptools-declarative-requirements-1.3.0-r3.apk
2024-04-16 02:00
9.7K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-04-16 02:00
42K
py3-seqdiag-3.0.0-r5.apk
2024-04-16 02:00
2.5M
py3-scs-pyc-3.2.3-r3.apk
2024-04-16 02:00
4.9K
py3-scs-3.2.3-r3.apk
2024-04-16 02:00
96K
py3-scrapy-pyc-2.11.1-r1.apk
2024-04-16 02:00
482K
py3-scrapy-2.11.1-r1.apk
2024-04-16 02:00
240K
py3-scour-pyc-0.38.2-r1.apk
2024-04-16 02:00
74K
py3-scour-0.38.2-r1.apk
2024-04-16 02:00
56K
py3-schema-pyc-0.7.5-r3.apk
2024-04-16 02:00
18K
py3-schema-0.7.5-r3.apk
2024-04-16 02:00
18K
py3-rtree-pyc-1.1.0-r1.apk
2024-04-16 02:00
44K
py3-rtree-1.1.0-r1.apk
2024-04-16 02:00
24K
py3-rstr-pyc-3.2.2-r3.apk
2024-04-16 02:00
10K
py3-rstr-3.2.2-r3.apk
2024-04-16 02:00
9.9K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-05-13 10:06
6.6K
py3-rst.linker-2.6.0-r0.apk
2024-05-13 10:06
6.1K
py3-rst-pyc-0.1-r8.apk
2024-04-16 02:00
6.1K
py3-rst-0.1-r8.apk
2024-04-16 02:00
5.7K
py3-rpio-pyc-0.10.1-r7.apk
2024-04-16 02:00
16K
py3-rpio-0.10.1-r7.apk
2024-04-16 02:00
32K
py3-rospkg-pyc-1.2.9-r5.apk
2024-04-16 02:00
54K
py3-rospkg-1.2.9-r5.apk
2024-04-16 02:00
29K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-04-16 02:00
91K
py3-rosdistro-0.9.0-r3.apk
2024-04-16 02:00
47K
py3-riotctrl-pyc-0.5.0-r3.apk
2024-04-16 02:00
11K
py3-riotctrl-0.5.0-r3.apk
2024-04-16 02:00
12K
py3-rich-click-pyc-1.7.3-r1.apk
2024-04-16 02:00
40K
py3-rich-click-1.7.3-r1.apk
2024-04-16 02:00
31K
py3-rfc3987-pyc-1.3.8-r5.apk
2024-04-16 02:00
11K
py3-rfc3987-1.3.8-r5.apk
2024-04-16 02:00
8.9K
py3-rfc-bibtex-pyc-0.3.2-r6.apk
2024-04-16 02:00
12K
py3-rfc-bibtex-0.3.2-r6.apk
2024-04-16 02:00
12K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-04-16 02:00
6.6K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-04-16 02:00
5.5K
py3-requests-kerberos-pyc-0.14.0-r4.apk
2024-04-16 02:00
11K
py3-requests-kerberos-0.14.0-r4.apk
2024-04-16 02:00
12K
py3-remind-pyc-0.18.0-r3.apk
2024-04-16 02:00
4.4K
py3-remind-0.18.0-r3.apk
2024-04-16 02:00
13K
py3-redmine-pyc-2.4.0-r3.apk
2024-04-16 02:00
52K
py3-redmine-2.4.0-r3.apk
2024-04-16 02:00
35K
py3-recurring-ical-events-pyc-2.2.1-r1.apk
2024-04-16 02:00
16K
py3-recurring-ical-events-2.2.1-r1.apk
2024-04-16 02:00
19K
py3-recommonmark-pyc-0.7.1-r3.apk
2024-04-16 02:00
18K
py3-recommonmark-0.7.1-r3.apk
2024-04-16 02:00
12K
py3-rapidjson-1.12-r1.apk
2024-04-16 02:00
117K
py3-radon-pyc-6.0.1-r1.apk
2024-04-16 02:00
50K
py3-radon-doc-6.0.1-r1.apk
2024-04-16 02:00
5.2K
py3-radon-6.0.1-r1.apk
2024-04-16 02:00
32K
py3-rabbit-pyc-1.1.0-r7.apk
2024-04-16 02:00
16K
py3-rabbit-1.1.0-r7.apk
2024-04-16 02:00
11K
py3-queuelib-pyc-1.6.2-r4.apk
2024-04-16 02:00
25K
py3-queuelib-1.6.2-r4.apk
2024-04-16 02:00
13K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-04-16 02:00
7.8K
py3-quebra-frases-0.3.7-r1.apk
2024-04-16 02:00
8.8K
py3-qt.py-pyc-1.3.10-r0.apk
2024-04-16 02:00
25K
py3-qt.py-1.3.10-r0.apk
2024-04-16 02:00
31K
py3-qpageview-pyc-0.6.2-r1.apk
2024-04-16 02:00
180K
py3-qpageview-doc-0.6.2-r1.apk
2024-04-16 02:00
56K
py3-qpageview-0.6.2-r1.apk
2024-04-16 02:00
98K
py3-qgis-3.28.12-r6.apk
2024-05-19 02:22
18M
py3-qbittorrent-api-pyc-2024.5.62-r0.apk
2024-05-30 18:16
94K
py3-qbittorrent-api-doc-2024.5.62-r0.apk
2024-05-30 18:16
28K
py3-qbittorrent-api-2024.5.62-r0.apk
2024-05-30 18:16
56K
py3-qasync-0.19.0-r1.apk
2024-04-16 02:00
36K
py3-pyzor-pyc-1.0.0-r10.apk
2024-04-16 02:00
54K
py3-pyzor-1.0.0-r10.apk
2024-04-16 02:00
32K
py3-pyvows-pyc-3.0.0-r4.apk
2024-04-16 02:00
49K
py3-pyvows-doc-3.0.0-r4.apk
2024-04-16 02:00
2.1K
py3-pyvows-3.0.0-r4.apk
2024-04-16 02:00
26K
py3-pyvcd-pyc-0.4.0-r1.apk
2024-04-16 02:00
40K
py3-pyvcd-0.4.0-r1.apk
2024-04-16 02:00
23K
py3-pytweening-pyc-1.2.0-r2.apk
2024-04-16 02:00
9.0K
py3-pytweening-1.2.0-r2.apk
2024-04-16 02:00
9.0K
py3-pytube-pyc-15.0.0-r2.apk
2024-06-09 10:36
90K
py3-pytube-15.0.0-r2.apk
2024-06-09 10:36
53K
py3-python-stdnum-pyc-1.19-r1.apk
2024-04-16 02:00
291K
py3-python-stdnum-1.19-r1.apk
2024-04-16 02:00
737K
py3-python-logstash-pyc-0.4.8-r3.apk
2024-04-16 02:00
8.4K
py3-python-logstash-doc-0.4.8-r3.apk
2024-04-16 02:00
2.3K
py3-python-logstash-0.4.8-r3.apk
2024-04-16 02:00
7.5K
py3-python-jose-pyc-3.3.0-r2.apk
2024-04-15 17:00
49K
py3-python-jose-doc-3.3.0-r2.apk
2024-04-15 17:00
3.1K
py3-python-jose-3.3.0-r2.apk
2024-04-15 17:00
29K
py3-python-iptables-pyc-1.0.1-r1.apk
2024-04-16 02:00
68K
py3-python-iptables-1.0.1-r1.apk
2024-04-16 02:00
38K
py3-python-archive-pyc-0.2-r6.apk
2024-04-16 02:00
9.4K
py3-python-archive-0.2-r6.apk
2024-04-16 02:00
6.4K
py3-pytest-subprocess-pyc-1.5.0-r2.apk
2024-04-16 02:00
25K
py3-pytest-subprocess-1.5.0-r2.apk
2024-04-16 02:00
18K
py3-pytest-regtest-pyc-2.1.1-r1.apk
2024-04-16 02:00
11K
py3-pytest-regtest-2.1.1-r1.apk
2024-04-16 02:00
10K
py3-pytest-mypy-pyc-0.10.3-r2.apk
2024-04-16 02:00
9.6K
py3-pytest-mypy-0.10.3-r2.apk
2024-04-16 02:00
8.1K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-04-16 02:00
7.9K
py3-pytest-metadata-3.1.1-r0.apk
2024-04-16 02:00
10K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-04-16 02:00
22K
py3-pytest-html-4.1.1-r1.apk
2024-04-16 02:00
22K
py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk
2024-04-16 02:00
6.2K
py3-pytest-helpers-namespace-2021.12.29-r3.apk
2024-04-16 02:00
11K
py3-pytest-expect-pyc-1.1.0-r9.apk
2024-04-17 04:54
7.0K
py3-pytest-expect-1.1.0-r9.apk
2024-04-17 04:54
5.0K
py3-pyte-pyc-0.8.2-r1.apk
2024-04-16 02:00
39K
py3-pyte-0.8.2-r1.apk
2024-04-16 02:00
27K
py3-pytap2-pyc-2.3.0-r0.apk
2024-05-09 00:38
6.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-05-09 00:38
2.8K
py3-pytap2-2.3.0-r0.apk
2024-05-09 00:38
7.0K
py3-pytaglib-pyc-1.5.0-r3.apk
2024-04-16 02:00
3.0K
py3-pytaglib-1.5.0-r3.apk
2024-04-16 02:00
33K
py3-pystache-pyc-0.6.5-r1.apk
2024-04-16 02:00
97K
py3-pystache-0.6.5-r1.apk
2024-04-16 02:00
68K
py3-pysrt-pyc-1.1.2-r3.apk
2024-04-16 02:00
23K
py3-pysrt-1.1.2-r3.apk
2024-04-16 02:00
14K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-04-16 02:00
63K
py3-pyspinel-1.0.3-r1.apk
2024-04-16 02:00
56K
py3-pysonic-pyc-1.0.1-r1.apk
2024-04-16 02:00
32K
py3-pysonic-1.0.1-r1.apk
2024-04-16 02:00
35K
py3-pysimplesoap-pyc-1.16.2-r6.apk
2024-04-16 02:00
78K
py3-pysimplesoap-1.16.2-r6.apk
2024-04-16 02:00
43K
py3-pysequoia-pyc-0.1.20-r2.apk
2024-04-17 04:54
1.9K
py3-pysequoia-0.1.20-r2.apk
2024-04-17 04:54
1.4M
py3-pyscreeze-pyc-0.1.29-r2.apk
2024-04-16 02:00
14K
py3-pyscreeze-0.1.29-r2.apk
2024-04-16 02:00
13K
py3-pyroma-pyc-4.2-r0.apk
2024-04-16 02:00
26K
py3-pyroma-4.2-r0.apk
2024-04-16 02:00
22K
py3-pyrebase-pyc-3.0.27-r4.apk
2024-04-16 02:00
18K
py3-pyrebase-3.0.27-r4.apk
2024-04-16 02:00
9.3K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-05-09 00:37
47K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-05-09 00:37
4.3K
py3-pyqrcode-1.2.1-r0.apk
2024-05-09 00:37
37K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-05-04 14:29
90K
py3-pypubsub-doc-4.0.3-r0.apk
2024-05-04 14:29
2.1K
py3-pypubsub-4.0.3-r0.apk
2024-05-04 14:29
52K
py3-pyparted-pyc-3.13.0-r1.apk
2024-04-16 02:00
42K
py3-pyparted-3.13.0-r1.apk
2024-04-16 02:00
74K
py3-pynest2d-5.2.2-r4.apk
2024-04-22 19:49
218K
py3-pymsteams-pyc-0.2.2-r3.apk
2024-04-16 02:00
6.6K
py3-pymsteams-0.2.2-r3.apk
2024-04-16 02:00
7.7K
py3-pymsgbox-pyc-1.0.9-r4.apk
2024-04-16 02:00
9.9K
py3-pymsgbox-1.0.9-r4.apk
2024-04-16 02:00
7.8K
py3-pymeta3-pyc-0.5.1-r5.apk
2024-04-16 02:00
32K
py3-pymeta3-0.5.1-r5.apk
2024-04-16 02:00
15K
py3-pymata4-pyc-1.15-r3.apk
2024-04-16 02:00
31K
py3-pymata4-1.15-r3.apk
2024-04-16 02:00
23K
py3-pymata-pyc-2.20-r3.apk
2024-04-16 02:00
29K
py3-pymata-2.20-r3.apk
2024-04-16 02:00
22K
py3-pymaging-pyc-0.0.20130908-r9.apk
2024-04-16 02:00
32K
py3-pymaging-png-pyc-0.0.20130727-r9.apk
2024-04-16 02:00
52K
py3-pymaging-png-0.0.20130727-r9.apk
2024-04-16 02:00
34K
py3-pymaging-0.0.20130908-r9.apk
2024-04-16 02:00
17K
py3-pylru-pyc-1.2.1-r1.apk
2024-04-16 02:00
8.9K
py3-pylru-1.2.1-r1.apk
2024-04-16 02:00
17K
py3-pyisbn-pyc-1.3.1-r2.apk
2024-04-16 02:00
9.4K
py3-pyisbn-1.3.1-r2.apk
2024-04-16 02:00
8.6K
py3-pyinstrument-pyc-4.6.2-r1.apk
2024-04-16 02:00
85K
py3-pyinstrument-4.6.2-r1.apk
2024-04-16 02:00
79K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-05-13 19:33
502K
py3-pyinstaller-6.6.0-r0.apk
2024-05-13 19:33
1.9M
py3-pygtail-pyc-0.14.0-r3.apk
2024-04-16 02:00
10K
py3-pygtail-0.14.0-r3.apk
2024-04-16 02:00
15K
py3-pygpgme-pyc-0.3.1-r8.apk
2024-04-16 02:00
5.1K
py3-pygpgme-0.3.1-r8.apk
2024-04-16 02:00
25K
py3-pyglm-2.7.1-r0.apk
2023-10-31 12:13
1.4M
py3-pyglet-pyc-2.0.15-r0.apk
2024-05-30 20:14
1.5M
py3-pyglet-2.0.15-r0.apk
2024-05-30 20:14
812K
py3-pygelbooru-pyc-0.5.0-r2.apk
2023-04-25 10:59
12K
py3-pygelbooru-0.5.0-r2.apk
2023-04-25 10:59
8.0K
py3-pyexmdb-1.11-r1.apk
2024-05-17 03:07
107K
py3-pydes-pyc-2.0.1-r4.apk
2024-04-15 01:31
13K
py3-pydes-doc-2.0.1-r4.apk
2024-04-15 01:31
3.7K
py3-pydes-2.0.1-r4.apk
2024-04-15 01:31
9.7K
py3-pydantic-settings-pyc-2.2.1-r0.apk
2024-05-24 14:53
22K
py3-pydantic-settings-2.2.1-r0.apk
2024-05-24 14:53
14K
py3-pycosat-0.6.6-r1.apk
2024-04-16 02:00
41K
py3-pycolorterm-0.2.1-r5.apk
2022-11-24 22:25
6.1K
py3-pycaption-2.2.10-r0.apk
2024-05-26 05:46
359K
py3-pybars3-pyc-0.9.7-r5.apk
2024-04-16 02:00
17K
py3-pybars3-0.9.7-r5.apk
2024-04-16 02:00
12K
py3-pyautogui-pyc-0.9.53-r4.apk
2024-04-16 02:00
45K
py3-pyautogui-0.9.53-r4.apk
2024-04-16 02:00
33K
py3-pyatem-pyc-0.5.0-r3.apk
2024-04-16 02:00
92K
py3-pyatem-0.5.0-r3.apk
2024-04-16 02:00
52K
py3-py-radix-pyc-0.10.0-r9.apk
2024-04-16 02:00
11K
py3-py-radix-0.10.0-r9.apk
2024-04-16 02:00
17K
py3-puremagic-pyc-1.23-r0.apk
2024-05-29 00:45
10K
py3-puremagic-1.23-r0.apk
2024-05-29 00:45
37K
py3-pure_protobuf-pyc-3.0.1-r2.apk
2024-04-16 02:00
38K
py3-pure_protobuf-3.0.1-r2.apk
2024-04-16 02:00
21K
py3-publicsuffix2-pyc-2.20191221-r5.apk
2024-04-16 02:00
8.3K
py3-publicsuffix2-2.20191221-r5.apk
2024-04-16 02:00
81K
py3-proxmoxer-pyc-2.0.1-r3.apk
2024-04-16 02:00
22K
py3-proxmoxer-2.0.1-r3.apk
2024-04-16 02:00
15K
py3-protego-pyc-0.3.0-r1.apk
2024-04-16 02:00
12K
py3-protego-0.3.0-r1.apk
2024-04-16 02:00
33K
py3-proplot-pyc-0.9.7-r2.apk
2024-04-16 02:00
225K
py3-proplot-0.9.7-r2.apk
2024-04-16 02:00
134K
py3-progressbar2-pyc-4.2.0-r2.apk
2024-04-16 02:00
42K
py3-progressbar2-4.2.0-r2.apk
2024-04-16 02:00
28K
py3-proglog-pyc-0.1.10-r2.apk
2024-04-16 02:00
9.9K
py3-proglog-0.1.10-r2.apk
2024-04-16 02:00
7.4K
py3-preggy-pyc-1.4.4-r4.apk
2024-04-16 02:00
24K
py3-preggy-doc-1.4.4-r4.apk
2024-04-16 02:00
4.0K
py3-preggy-1.4.4-r4.apk
2024-04-16 02:00
16K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-04-16 02:00
16K
py3-pprintpp-0.4.0-r1.apk
2024-04-16 02:00
14K
py3-poppler-qt5-21.3.0-r1.apk
2024-04-16 02:00
112K
py3-poetry-dynamic-versioning-pyc-1.3.0-r0.apk
2024-05-27 19:53
24K
py3-poetry-dynamic-versioning-1.3.0-r0.apk
2024-05-27 19:53
19K
py3-pockethernet-pyc-0.7.0-r3.apk
2024-04-16 02:00
25K
py3-pockethernet-0.7.0-r3.apk
2024-04-16 02:00
15K
py3-plexapi-pyc-4.15.13-r0.apk
2024-05-20 09:26
303K
py3-plexapi-doc-4.15.13-r0.apk
2024-05-20 09:26
83K
py3-plexapi-4.15.13-r0.apk
2024-05-20 09:26
152K
py3-playsound-pyc-1.3.0-r1.apk
2024-04-16 02:00
8.5K
py3-playsound-1.3.0-r1.apk
2024-04-16 02:00
6.9K
py3-pivy-0.6.9_alpha0-r0.apk
2024-04-19 02:03
1.9M
py3-piper-tts-2023.11.14.2-r4.apk
2024-06-08 13:15
41K
py3-piper-phonemize-2023.11.14.4-r2.apk
2024-06-08 13:15
129K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-04-16 02:00
4.7K
py3-pip-system-certs-4.0-r1.apk
2024-04-16 02:00
7.0K
py3-pika-pyc-1.3.2-r1.apk
2024-04-16 02:00
246K
py3-pika-1.3.2-r1.apk
2024-04-16 02:00
143K
py3-pigpio-79-r4.apk
2024-05-08 15:01
93K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-04-15 01:31
5.4K
py3-pickle-secure-0.99.9-r1.apk
2024-04-15 01:31
7.5K
py3-piccata-pyc-2.0.3-r1.apk
2024-04-16 02:00
34K
py3-piccata-2.0.3-r1.apk
2024-04-16 02:00
20K
py3-phpserialize-pyc-1.3-r7.apk
2024-04-16 02:00
11K
py3-phpserialize-1.3-r7.apk
2024-04-16 02:00
8.7K
py3-pep8-naming-pyc-0.13.3-r2.apk
2024-04-16 02:00
13K
py3-pep8-naming-0.13.3-r2.apk
2024-04-16 02:00
8.6K
py3-pelican-pyc-4.9.1-r2.apk
2024-04-16 02:00
147K
py3-pelican-4.9.1-r2.apk
2024-04-16 02:00
234K
py3-pebble-pyc-5.0.7-r1.apk
2024-04-16 02:00
43K
py3-pebble-5.0.7-r1.apk
2024-04-16 02:00
21K
py3-pdal-pyc-3.2.3-r4.apk
2024-04-16 02:00
11K
py3-pdal-3.2.3-r4.apk
2024-04-16 02:00
149K
py3-pbs-installer-pyc-2024.4.24-r0.apk
2024-05-30 22:05
48K
py3-pbs-installer-2024.4.24-r0.apk
2024-05-30 22:05
43K
py3-pbkdf2-pyc-1.3-r6.apk
2024-04-16 02:00
7.1K
py3-pbkdf2-1.3-r6.apk
2024-04-16 02:00
6.1K
py3-pathvalidate-pyc-3.2.0-r1.apk
2024-04-16 02:00
32K
py3-pathvalidate-3.2.0-r1.apk
2024-04-16 02:00
19K
py3-patatt-pyc-0.6.3-r1.apk
2024-04-16 02:00
31K
py3-patatt-doc-0.6.3-r1.apk
2024-04-16 02:00
3.1K
py3-patatt-0.6.3-r1.apk
2024-04-16 02:00
25K
py3-parver-pyc-0.5-r1.apk
2024-04-16 02:00
26K
py3-parver-0.5-r1.apk
2024-04-16 02:00
16K
py3-parse-pyc-1.20.0-r1.apk
2024-04-16 02:00
18K
py3-parse-1.20.0-r1.apk
2024-04-16 02:00
20K
py3-pam-pyc-2.0.2-r2.apk
2024-04-16 02:00
13K
py3-pam-2.0.2-r2.apk
2024-04-16 02:00
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-05-31 08:14
12K
py3-padacioso-0.2.1-r0.apk
2024-05-31 08:14
11K
py3-pacparser-pyc-1.4.3-r1.apk
2024-04-16 02:00
4.1K
py3-pacparser-1.4.3-r1.apk
2024-04-16 02:00
368K
py3-owslib-pyc-0.30.0-r0.apk
2024-04-29 00:37
387K
py3-owslib-0.30.0-r0.apk
2024-04-29 00:37
180K
py3-ovos-workshop-pyc-0.0.15-r0.apk
2024-05-31 08:14
145K
py3-ovos-workshop-0.0.15-r0.apk
2024-05-31 08:14
81K
py3-ovos-utils-pyc-0.0.38-r0.apk
2024-05-31 08:14
211K
py3-ovos-utils-0.0.38-r0.apk
2024-05-31 08:14
114K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-05-31 08:14
5.4K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-05-31 08:14
9.5K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-06-08 13:15
11K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-06-08 13:15
12K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-05-31 08:14
4.1K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-05-31 08:14
8.3K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-04-16 02:00
6.8K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-04-16 02:00
10K
py3-ovos-plugin-manager-pyc-0.0.25-r0.apk
2024-05-31 08:14
132K
py3-ovos-plugin-manager-0.0.25-r0.apk
2024-05-31 08:14
71K
py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk
2024-04-16 02:00
9.1K
py3-ovos-phal-plugin-system-0.0.4-r1.apk
2024-04-16 02:00
11K
py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk
2024-04-16 02:00
8.1K
py3-ovos-phal-plugin-oauth-0.0.2-r1.apk
2024-04-16 02:00
7.6K
py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk
2024-04-16 02:00
8.8K
py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk
2024-04-16 02:00
11K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-04-16 02:00
4.4K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-04-16 02:00
4.5K
py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk
2024-04-16 02:00
5.1K
py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk
2024-04-16 02:00
5.0K
py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk
2024-04-16 02:00
3.7K
py3-ovos-ocp-rss-plugin-0.0.2-r1.apk
2024-04-16 02:00
8.3K
py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk
2024-04-16 02:00
8.9K
py3-ovos-ocp-news-plugin-0.0.3-r1.apk
2024-04-16 02:00
11K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha2-r0.apk
2024-05-31 08:14
3.4K
py3-ovos-ocp-m3u-plugin-0.0.2_alpha2-r0.apk
2024-05-31 08:14
8.1K
py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk
2024-04-16 02:00
104K
py3-ovos-ocp-files-plugin-0.13.0-r1.apk
2024-04-16 02:00
47K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-05-31 08:14
86K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-05-31 08:14
550K
py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk
2024-04-16 02:00
4.7K
py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk
2024-04-16 02:00
8.7K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-05-31 08:14
437K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-05-31 08:14
358K
py3-ovos-config-pyc-0.0.12-r0.apk
2024-05-31 08:14
33K
py3-ovos-config-0.0.12-r0.apk
2024-05-31 08:14
31K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-05-31 08:14
164K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-05-31 08:14
103K
py3-ovos-bus-client-pyc-0.0.8-r0.apk
2024-05-31 08:14
73K
py3-ovos-bus-client-0.0.8-r0.apk
2024-05-31 08:14
43K
py3-ovos-backend-client-pyc-0.1.0-r0.apk
2024-05-31 08:14
90K
py3-ovos-backend-client-0.1.0-r0.apk
2024-05-31 08:14
41K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-05-31 08:14
8.2K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-05-31 08:14
10K
py3-orderedmultidict-pyc-1.0.1-r6.apk
2024-04-16 02:00
17K
py3-orderedmultidict-1.0.1-r6.apk
2024-04-16 02:00
11K
py3-optuna-pyc-3.6.1-r1.apk
2024-04-16 02:00
573K
py3-optuna-3.6.1-r1.apk
2024-04-16 02:00
292K
py3-openwisp-utils-pyc-1.0.4-r2.apk
2024-04-16 02:00
42K
py3-openwisp-utils-1.0.4-r2.apk
2024-04-16 02:00
492K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-04-16 02:00
10K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-04-16 02:00
8.2K
py3-opendht-3.1.7-r2.apk
2024-04-16 02:00
143K
py3-openapi-core-pyc-0.19.1-r0.apk
2024-05-27 04:18
154K
py3-openapi-core-0.19.1-r0.apk
2024-05-27 04:18
72K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-04-16 02:00
12K
py3-openapi-codec-1.3.2-r9.apk
2024-04-16 02:00
7.7K
py3-onnxruntime-pyc-1.18.0-r0.apk
2024-06-06 17:25
1.2M
py3-onnxruntime-1.18.0-r0.apk
2024-06-06 17:25
5.1M
py3-onelogin-pyc-3.1.6-r1.apk
2024-04-16 02:00
900K
py3-onelogin-3.1.6-r1.apk
2024-04-16 02:00
394K
py3-nwdiag-pyc-3.0.0-r2.apk
2024-04-16 02:00
78K
py3-nwdiag-3.0.0-r2.apk
2024-04-16 02:00
4.9M
py3-numpy-stl-pyc-3.0.1-r2.apk
2024-04-16 02:00
27K
py3-numpy-stl-3.0.1-r2.apk
2024-04-16 02:00
20K
py3-ntplib-pyc-0.4.0-r4.apk
2024-04-16 02:00
8.6K
py3-ntplib-0.4.0-r4.apk
2024-04-16 02:00
7.1K
py3-nptyping-pyc-2.5.0-r2.apk
2024-04-13 19:04
32K
py3-nptyping-2.5.0-r2.apk
2024-04-13 19:04
20K
py3-notifymail-pyc-1.1-r7.apk
2024-04-16 02:00
5.8K
py3-notifymail-1.1-r7.apk
2024-04-16 02:00
6.5K
py3-nose-timer-pyc-1.0.1-r5.apk
2024-04-16 02:00
9.9K
py3-nose-timer-1.0.1-r5.apk
2024-04-16 02:00
8.4K
py3-nose-of-yeti-pyc-2.4.9-r0.apk
2024-05-28 21:16
37K
py3-nose-of-yeti-2.4.9-r0.apk
2024-05-28 21:16
26K
py3-nmap-pyc-0.7.1-r3.apk
2024-04-16 02:00
26K
py3-nmap-0.7.1-r3.apk
2024-04-16 02:00
20K
py3-netmiko-pyc-4.3.0-r1.apk
2024-04-16 02:00
322K
py3-netmiko-4.3.0-r1.apk
2024-04-16 02:00
164K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-04-16 02:38
9.2K
py3-netifaces2-0.0.22-r0.apk
2024-04-16 02:38
171K
py3-ncclient-pyc-0.6.13-r4.apk
2024-04-16 02:00
107K
py3-ncclient-0.6.13-r4.apk
2024-04-16 02:00
62K
py3-natpmp-pyc-1.3.2-r1.apk
2024-04-16 02:00
9.9K
py3-natpmp-1.3.2-r1.apk
2024-04-16 02:00
9.4K
py3-nanoid-pyc-2.0.0-r2.apk
2024-04-16 02:00
3.9K
py3-nanoid-2.0.0-r2.apk
2024-04-16 02:00
4.9K
py3-mujson-pyc-1.4-r0.apk
2024-05-27 04:18
5.7K
py3-mujson-1.4-r0.apk
2024-05-27 04:18
8.2K
py3-msldap-pyc-0.5.10-r1.apk
2024-04-16 02:00
312K
py3-msldap-0.5.10-r1.apk
2024-04-16 02:00
138K
py3-mpd2-pyc-3.1.1-r1.apk
2024-04-16 02:00
57K
py3-mpd2-3.1.1-r1.apk
2024-04-16 02:00
30K
py3-moviepy-pyc-1.0.3-r4.apk
2023-05-24 23:47
164K
py3-moviepy-1.0.3-r4.apk
2023-05-24 23:47
90K
py3-more-properties-pyc-1.1.1-r3.apk
2024-04-16 02:00
8.2K
py3-more-properties-1.1.1-r3.apk
2024-04-16 02:00
7.4K
py3-mopidy-tidal-pyc-0.3.2-r5.apk
2024-04-16 02:00
36K
py3-mopidy-tidal-0.3.2-r5.apk
2024-04-16 02:00
20K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-04-16 02:00
74K
py3-mopidy-mpd-3.3.0-r4.apk
2024-04-16 02:00
46K
py3-mopidy-local-pyc-3.2.1-r3.apk
2024-04-16 02:00
34K
py3-mopidy-local-3.2.1-r3.apk
2024-04-16 02:00
23K
py3-mopidy-jellyfin-pyc-1.0.4-r3.apk
2024-04-16 02:00
37K
py3-mopidy-jellyfin-1.0.4-r3.apk
2024-04-16 02:00
20K
py3-modbus-tk-pyc-1.1.1-r3.apk
2024-04-16 02:00
49K
py3-modbus-tk-1.1.1-r3.apk
2024-04-16 02:00
24K
py3-mitmproxy-rs-pyc-0.5.1-r0.apk
2024-04-16 02:00
3.1K
py3-mitmproxy-rs-0.5.1-r0.apk
2024-04-16 02:00
594K
py3-mistletoe-pyc-1.2.1-r1.apk
2024-04-16 02:00
92K
py3-mistletoe-1.2.1-r1.apk
2024-04-16 02:00
42K
py3-minio-pyc-7.2.0-r1.apk
2024-04-16 02:00
137K
py3-minio-7.2.0-r1.apk
2024-04-16 02:00
68K
py3-minikerberos-pyc-0.4.4-r1.apk
2024-04-16 02:00
264K
py3-minikerberos-0.4.4-r1.apk
2024-04-16 02:00
129K
py3-minidump-pyc-0.0.23-r1.apk
2024-04-16 02:00
127K
py3-minidump-0.0.23-r1.apk
2024-04-16 02:00
63K
py3-minidb-pyc-2.0.7-r3.apk
2024-04-16 02:00
24K
py3-minidb-2.0.7-r3.apk
2024-04-16 02:00
9.9K
py3-milc-pyc-1.8.0-r1.apk
2024-04-16 02:00
38K
py3-milc-1.8.0-r1.apk
2024-04-16 02:00
25K
py3-migen-pyc-0.9.2-r2.apk
2024-04-16 02:00
296K
py3-migen-0.9.2-r2.apk
2024-04-16 02:00
143K
py3-microdata-pyc-0.8.0-r0.apk
2024-04-28 21:30
6.5K
py3-microdata-0.8.0-r0.apk
2024-04-28 21:30
6.2K
py3-mbedtls-pyc-2.10.1-r1.apk
2024-04-28 21:11
27K
py3-mbedtls-2.10.1-r1.apk
2024-04-28 21:11
825K
py3-mattermostdriver-pyc-7.3.2-r0.apk
2024-05-27 04:18
32K
py3-mattermostdriver-7.3.2-r0.apk
2024-05-27 04:18
21K
py3-marshmallow-pyc-3.21.2-r0.apk
2024-05-27 19:54
83K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-04-16 02:00
4.5K
py3-marshmallow-enum-1.5.1-r7.apk
2024-04-16 02:00
5.3K
py3-marshmallow-3.21.2-r0.apk
2024-05-27 19:54
47K
py3-markdown2-pyc-2.4.13-r1.apk
2024-04-16 02:00
62K
py3-markdown2-2.4.13-r1.apk
2024-04-16 02:00
42K
py3-marisa-trie-1.1.0-r0.apk
2024-04-16 02:00
126K
py3-mapbox-earcut-1.0.1-r0.apk
2022-12-03 05:58
49K
py3-manuel-pyc-1.12.4-r2.apk
2024-04-16 02:00
26K
py3-manuel-1.12.4-r2.apk
2024-04-16 02:00
34K
py3-mando-pyc-0.7.1-r2.apk
2024-04-16 02:00
36K
py3-mando-doc-0.7.1-r2.apk
2024-04-16 02:00
4.2K
py3-mando-0.7.1-r2.apk
2024-04-16 02:00
21K
py3-m2crypto-pyc-0.41.0-r1.apk
2024-04-16 02:00
123K
py3-m2crypto-0.41.0-r1.apk
2024-04-16 02:00
186K
py3-lzo-pyc-1.16-r1.apk
2024-04-16 02:00
2.0K
py3-lzo-1.16-r1.apk
2024-04-16 02:00
16K
py3-ly-pyc-0.9.8-r1.apk
2024-04-16 02:00
355K
py3-ly-doc-0.9.8-r1.apk
2024-04-16 02:00
8.1K
py3-ly-0.9.8-r1.apk
2024-04-16 02:00
187K
py3-lunr-pyc-0.6.2-r3.apk
2024-04-16 02:00
51K
py3-lunr-0.6.2-r3.apk
2024-04-16 02:00
31K
py3-luhn-pyc-0.2.0-r8.apk
2024-04-16 02:00
2.6K
py3-luhn-0.2.0-r8.apk
2024-04-16 02:00
3.0K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-04-16 02:00
107K
py3-lsprotocol-2023.0.1-r1.apk
2024-04-16 02:00
69K
py3-lsp-mypy-pyc-0.6.8-r1.apk
2024-04-16 02:00
11K
py3-lsp-mypy-0.6.8-r1.apk
2024-04-16 02:00
12K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-04-16 02:00
6.4K
py3-lsp-black-2.0.0-r1.apk
2024-04-16 02:00
7.5K
py3-log-symbols-pyc-0.0.14-r4.apk
2024-04-16 02:00
3.1K
py3-log-symbols-0.0.14-r4.apk
2024-04-16 02:00
3.3K
py3-livestream-pyc-2.0.0-r2.apk
2024-04-17 04:54
31K
py3-livestream-2.0.0-r2.apk
2024-04-17 04:54
767K
py3-litex-hub-valentyusb-2023.12-r4.apk
2024-04-16 02:00
112K
py3-litex-hub-pythondata-software-picolibc-2023.12-r4.apk
2024-04-16 02:00
4.7M
py3-litex-hub-pythondata-software-compiler_rt-2023.12-r4.apk
2024-04-16 02:00
2.2M
py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4.apk
2024-04-16 02:00
49K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r4.apk
2024-04-16 02:00
2.4M
py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r4.apk
2024-04-16 02:00
348K
py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk
2024-04-16 02:00
65K
py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk
2024-04-16 02:00
11M
py3-litex-hub-pythondata-cpu-picorv32-2023.12-r4.apk
2024-04-16 02:00
228K
py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r4.apk
2024-04-16 02:00
7.6K
py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk
2024-04-16 02:00
179K
py3-litex-hub-pythondata-cpu-minerva-2023.12-r4.apk
2024-04-16 02:00
45K
py3-litex-hub-pythondata-cpu-microwatt-2023.12-r4.apk
2024-04-16 02:00
19M
py3-litex-hub-pythondata-cpu-marocchino-2023.12-r4.apk
2024-04-16 02:00
208K
py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk
2024-04-16 02:00
108K
py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk
2024-04-16 02:00
1.8M
py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk
2024-04-16 02:00
10M
py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk
2024-04-16 02:00
500K
py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r4.apk
2024-04-16 02:00
934K
py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r4.apk
2024-04-16 02:00
1.9M
py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r4.apk
2024-04-16 02:00
5.6M
py3-litex-hub-modules-pyc-2023.12-r4.apk
2024-04-16 02:00
1.1M
py3-litex-hub-modules-2023.12-r4.apk
2024-04-16 02:00
1.6K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-04-16 02:00
23K
py3-linkify-it-py-2.0.3-r1.apk
2024-04-16 02:00
21K
py3-limits-pyc-3.12.0-r0.apk
2024-05-13 10:06
72K
py3-limits-3.12.0-r0.apk
2024-05-13 10:06
33K
py3-librtmp-pyc-0.3.0-r6.apk
2024-04-16 02:00
25K
py3-librtmp-0.3.0-r6.apk
2024-04-16 02:00
32K
py3-libnacl-pyc-2.1.0-r1.apk
2024-04-16 02:00
30K
py3-libnacl-2.1.0-r1.apk
2024-04-16 02:00
20K
py3-libmdbx-pyc-0.10.2-r6.apk
2024-04-17 04:54
33K
py3-libmdbx-0.10.2-r6.apk
2024-04-17 04:54
27K
py3-liblarch-pyc-3.2.0-r4.apk
2024-04-16 02:00
50K
py3-liblarch-3.2.0-r4.apk
2024-04-16 02:00
27K
py3-libiio-0.25-r1.apk
2024-04-16 02:00
13K
py3-libguestfs-1.52.0-r1.apk
2024-04-16 02:00
175K
py3-libcec-rpi-6.0.2-r3.apk
2022-12-18 06:57
97K
py3-libacl-0.7.0-r1.apk
2024-04-16 02:00
15K
py3-lib_users-pyc-0.15-r3.apk
2024-04-16 02:00
9.5K
py3-lib_users-0.15-r3.apk
2024-04-16 02:00
8.3K
py3-levenshtein-pyc-0.25.1-r2.apk
2024-04-13 19:04
9.4K
py3-levenshtein-0.25.1-r2.apk
2024-04-13 19:04
165K
py3-latex2mathml-pyc-3.77.0-r1.apk
2024-04-16 02:00
35K
py3-latex2mathml-3.77.0-r1.apk
2024-04-16 02:00
72K
py3-language-data-pyc-1.1-r1.apk
2024-04-16 02:00
2.7M
py3-language-data-1.1-r1.apk
2024-04-16 02:00
4.5M
py3-langcodes-pyc-3.3.0-r2.apk
2024-04-16 02:00
110K
py3-langcodes-3.3.0-r2.apk
2024-04-16 02:00
174K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-04-16 02:00
9.5K
py3-landlock-1.0.0_pre4-r2.apk
2024-04-16 02:00
8.4K
py3-kerberos-1.3.1-r4.apk
2023-03-02 01:08
16K
py3-keepalive-pyc-0.5-r5.apk
2024-04-15 01:31
13K
py3-keepalive-doc-0.5-r5.apk
2024-04-15 01:31
2.0K
py3-keepalive-0.5-r5.apk
2024-04-15 01:31
9.0K
py3-kazoo-pyc-0_git20211202-r3.apk
2024-04-16 02:00
245K
py3-kazoo-0_git20211202-r3.apk
2024-04-16 02:00
120K
py3-junit-xml-pyc-1.9-r2.apk
2024-04-16 02:00
9.3K
py3-junit-xml-1.9-r2.apk
2024-04-16 02:00
7.5K
py3-jsonschema417-pyc-4.17.3-r1.apk
2024-04-16 02:00
125K
py3-jsonschema417-4.17.3-r1.apk
2024-04-16 02:00
73K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-05-13 10:06
6.1K
py3-jaraco.versioning-1.1.0-r0.apk
2024-05-13 10:06
5.9K
py3-jaraco.vcs-pyc-2.2.0-r0.apk
2024-05-13 10:06
14K
py3-jaraco.vcs-2.2.0-r0.apk
2024-05-13 10:06
9.3K
py3-jaraco.stream-pyc-3.0.3-r0.apk
2024-06-02 19:39
8.2K
py3-jaraco.stream-3.0.3-r0.apk
2024-06-02 19:39
6.9K
py3-jaraco.path-pyc-3.7.0-r0.apk
2024-05-13 10:06
9.4K
py3-jaraco.path-3.7.0-r0.apk
2024-05-13 10:06
7.7K
py3-jaraco.logging-pyc-3.3.0-r0.apk
2024-06-02 19:39
5.8K
py3-jaraco.logging-3.3.0-r0.apk
2024-06-02 19:39
6.0K
py3-janus-pyc-1.0.0-r3.apk
2024-04-16 02:00
13K
py3-janus-1.0.0-r3.apk
2024-04-16 02:00
8.2K
py3-itunespy-pyc-1.6-r3.apk
2024-04-16 02:00
15K
py3-itunespy-1.6-r3.apk
2024-04-16 02:00
8.9K
py3-itemloaders-pyc-1.3.1-r0.apk
2024-06-03 22:41
17K
py3-itemloaders-1.3.1-r0.apk
2024-06-03 22:41
13K
py3-itemadapter-pyc-0.8.0-r2.apk
2024-04-16 02:00
13K
py3-itemadapter-0.8.0-r2.apk
2024-04-16 02:00
10K
py3-iso639-lang-pyc-2.2.3-r0.apk
2024-04-18 15:58
9.7K
py3-iso639-lang-2.2.3-r0.apk
2024-04-18 15:58
269K
py3-irc-pyc-20.4.1-r0.apk
2024-06-09 10:03
71K
py3-irc-20.4.1-r0.apk
2024-06-09 10:03
41K
py3-invoke-pyc-2.2.0-r2.apk
2024-04-16 02:00
231K
py3-invoke-2.2.0-r2.apk
2024-04-16 02:00
147K
py3-intervals-pyc-0.9.2-r4.apk
2024-04-16 02:00
15K
py3-intervals-0.9.2-r4.apk
2024-04-16 02:00
8.4K
py3-iniparse-pyc-0.5-r6.apk
2024-04-16 02:00
25K
py3-iniparse-doc-0.5-r6.apk
2024-04-16 02:00
10K
py3-iniparse-0.5-r6.apk
2024-04-16 02:00
14K
py3-infinity-pyc-1.5-r5.apk
2024-04-16 02:00
3.8K
py3-infinity-1.5-r5.apk
2024-04-16 02:00
3.5K
py3-incoming-pyc-0.3.1-r7.apk
2024-04-16 02:00
20K
py3-incoming-0.3.1-r7.apk
2024-04-16 02:00
12K
py3-imdbpy-pyc-2021.4.18-r4.apk
2024-04-16 02:00
243K
py3-imdbpy-2021.4.18-r4.apk
2024-04-16 02:00
220K
py3-imageio-pyc-2.34.0-r1.apk
2024-04-17 04:54
502K
py3-imageio-ffmpeg-pyc-0.4.9-r0.apk
2023-10-05 23:12
21K
py3-imageio-ffmpeg-0.4.9-r0.apk
2023-10-05 23:12
16K
py3-imageio-2.34.0-r1.apk
2024-04-17 04:54
286K
py3-igraph-pyc-0.11.5-r0.apk
2024-05-13 10:06
373K
py3-igraph-dev-0.11.5-r0.apk
2024-05-13 10:06
2.6K
py3-igraph-0.11.5-r0.apk
2024-05-13 10:06
392K
py3-i18naddress-pyc-3.1.0-r2.apk
2024-04-16 02:00
13K
py3-i18naddress-3.1.0-r2.apk
2024-04-16 02:00
738K
py3-hurry.filesize-pyc-0.9-r7.apk
2024-04-16 02:00
3.3K
py3-hurry.filesize-0.9-r7.apk
2024-04-16 02:00
4.4K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-04-16 02:00
22K
py3-html5-parser-0.4.12-r1.apk
2024-04-16 02:00
154K
py3-hishel-pyc-0.0.27-r0.apk
2024-06-03 22:49
69K
py3-hishel-0.0.27-r0.apk
2024-06-03 22:49
31K
py3-hiplot-pyc-0.1.33-r1.apk
2024-04-16 02:00
54K
py3-hiplot-0.1.33-r1.apk
2024-04-16 02:00
105K
py3-hg-git-pyc-1.1.1-r1.apk
2024-04-16 02:00
107K
py3-hg-git-1.1.1-r1.apk
2024-04-16 02:00
70K
py3-hfst-3.16.0-r2.apk
2024-04-16 02:00
344K
py3-helper-pyc-2.5.0-r4.apk
2024-04-16 02:00
28K
py3-helper-2.5.0-r4.apk
2024-04-16 02:00
18K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-04-18 15:58
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-04-18 15:58
13K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-04-18 15:58
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-04-18 15:58
25K
py3-halo-pyc-0.0.31-r4.apk
2024-04-16 02:00
14K
py3-halo-0.0.31-r4.apk
2024-04-16 02:00
10K
py3-grequests-pyc-0.7.0-r1.apk
2024-04-16 02:00
5.9K
py3-grequests-0.7.0-r1.apk
2024-04-16 02:00
5.3K
py3-googletrans-pyc-3.0.0-r4.apk
2024-04-17 04:54
17K
py3-googletrans-3.0.0-r4.apk
2024-04-17 04:54
15K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-04-16 02:00
11K
py3-google-trans-new-1.1.9-r2.apk
2024-04-16 02:00
9.2K
py3-gls-pyc-1.3.1-r1.apk
2024-04-16 02:00
84K
py3-gls-1.3.1-r1.apk
2024-04-16 02:00
47K
py3-glob2-pyc-0.7-r5.apk
2023-04-24 03:29
14K
py3-glob2-0.7-r5.apk
2023-04-24 03:29
9.1K
py3-github3-pyc-4.0.1-r1.apk
2024-04-16 02:00
227K
py3-github3-4.0.1-r1.apk
2024-04-16 02:00
128K
py3-git-versioner-pyc-7.1-r1.apk
2024-04-16 02:00
14K
py3-git-versioner-7.1-r1.apk
2024-04-16 02:00
12K
py3-gevent-websocket-pyc-0.10.1-r7.apk
2024-04-16 02:00
30K
py3-gevent-websocket-0.10.1-r7.apk
2024-04-16 02:00
19K
py3-geoip-1.3.2-r3.apk
2024-04-16 02:00
19K
py3-gdcm-3.0.24-r0.apk
2024-05-16 19:54
659K
py3-fuzzywuzzy-pyc-0.18.0-r6.apk
2024-04-13 19:04
15K
py3-fuzzywuzzy-0.18.0-r6.apk
2024-04-13 19:04
11K
py3-furl-pyc-2.1.3-r2.apk
2023-04-24 03:29
34K
py3-furl-2.1.3-r2.apk
2023-04-24 03:29
20K
py3-funcparserlib-pyc-1.0.1-r4.apk
2024-04-16 02:00
19K
py3-funcparserlib-1.0.1-r4.apk
2024-04-16 02:00
17K
py3-freetype-py-2.4.0-r1.apk
2024-04-16 02:00
154K
py3-fpdf-pyc-1.7.2-r5.apk
2024-04-16 02:00
89K
py3-fpdf-1.7.2-r5.apk
2024-04-16 02:00
40K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-04-16 02:00
9.7K
py3-forbiddenfruit-0.1.4-r2.apk
2024-04-16 02:00
9.0K
py3-flask-themer-pyc-2.0.0-r1.apk
2024-04-16 02:00
7.0K
py3-flask-themer-2.0.0-r1.apk
2024-04-16 02:00
7.0K
py3-flask-security-pyc-5.4.3-r1.apk
2024-04-16 02:00
211K
py3-flask-security-5.4.3-r1.apk
2024-04-16 02:00
267K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-04-16 02:00
59K
py3-flask-restless-0.17.0-r9.apk
2024-04-16 02:00
40K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-04-16 02:00
20K
py3-flask-restaction-0.25.3-r8.apk
2024-04-16 02:00
115K
py3-flask-qrcode-pyc-3.1.0-r4.apk
2024-04-16 02:00
6.2K
py3-flask-qrcode-3.1.0-r4.apk
2024-04-16 02:00
18K
py3-flask-peewee-pyc-3.0.4-r6.apk
2024-04-16 02:00
95K
py3-flask-peewee-3.0.4-r6.apk
2024-04-16 02:00
172K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-04-16 02:00
11K
py3-flask-paginate-0.8.1-r6.apk
2024-04-16 02:00
8.2K
py3-flask-migrate-pyc-4.0.5-r1.apk
2024-04-16 02:00
18K
py3-flask-migrate-4.0.5-r1.apk
2024-04-16 02:00
14K
py3-flask-markdown-pyc-0.3-r8.apk
2024-04-16 02:00
3.9K
py3-flask-markdown-0.3-r8.apk
2024-04-16 02:00
5.6K
py3-flask-mailman-pyc-1.0.0-r1.apk
2024-04-16 02:00
25K
py3-flask-mailman-1.0.0-r1.apk
2024-04-16 02:00
16K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-04-16 02:00
7.9K
py3-flask-loopback-1.4.7-r7.apk
2024-04-16 02:00
5.6K
py3-flask-limiter-pyc-3.7.0-r0.apk
2024-05-20 09:38
48K
py3-flask-limiter-3.7.0-r0.apk
2024-05-20 09:38
26K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-04-16 02:00
3.4K
py3-flask-json-schema-0.0.5-r4.apk
2024-04-16 02:00
4.1K
py3-flask-httpauth-pyc-4.8.0-r2.apk
2024-04-16 02:00
11K
py3-flask-httpauth-4.8.0-r2.apk
2024-04-16 02:00
8.0K
py3-flask-headers-pyc-1.0-r9.apk
2024-04-16 02:00
2.5K
py3-flask-headers-1.0-r9.apk
2024-04-16 02:00
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-04-16 02:00
2.8K
py3-flask-gzip-0.2-r8.apk
2024-04-16 02:00
3.2K
py3-flask-flatpages-pyc-0.8.2-r2.apk
2024-04-16 02:00
13K
py3-flask-flatpages-0.8.2-r2.apk
2024-04-16 02:00
11K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-04-16 02:00
6.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-04-16 02:00
86K
py3-flask-components-pyc-0.1.1-r9.apk
2024-04-16 02:00
3.3K
py3-flask-components-0.1.1-r9.apk
2024-04-16 02:00
3.9K
py3-flask-cdn-pyc-1.5.3-r7.apk
2024-04-16 02:00
4.1K
py3-flask-cdn-1.5.3-r7.apk
2024-04-16 02:00
4.0K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-04-16 02:00
18K
py3-flask-cache-0.13.1-r9.apk
2024-04-16 02:00
13K
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk
2024-04-16 02:00
11K
py3-flask-bootstrap-3.3.7.1-r8.apk
2024-04-16 02:00
450K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-04-16 02:00
5.8K
py3-flask-bcrypt-1.0.1-r5.apk
2024-04-16 02:00
7.1K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-04-16 02:00
4.1K
py3-flask-basicauth-0.2.0-r9.apk
2024-04-16 02:00
5.3K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-04-16 02:00
5.0K
py3-flask-autorouter-0.2.2-r3.apk
2024-04-16 02:00
5.1K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-04-16 02:00
358K
py3-flask-admin-1.6.1-r3.apk
2024-04-16 02:00
6.5M
py3-flask-accept-pyc-0.0.6-r1.apk
2024-04-16 02:00
3.7K
py3-flask-accept-0.0.6-r1.apk
2024-04-16 02:00
5.0K
py3-flake8-todo-pyc-0.7-r7.apk
2024-04-16 02:00
2.2K
py3-flake8-todo-0.7-r7.apk
2024-04-16 02:00
3.6K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-04-16 02:00
3.7K
py3-flake8-snippets-0.2-r8.apk
2024-04-16 02:00
5.3K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-04-16 02:00
4.4K
py3-flake8-print-5.0.0-r5.apk
2024-04-16 02:00
6.7K
py3-flake8-polyfill-pyc-1.0.2-r4.apk
2024-04-16 02:00
5.7K
py3-flake8-polyfill-1.0.2-r4.apk
2024-04-16 02:00
5.9K
py3-flake8-isort-pyc-6.1.1-r1.apk
2024-04-16 02:00
5.4K
py3-flake8-isort-6.1.1-r1.apk
2024-04-16 02:00
18K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-04-16 02:00
17K
py3-flake8-import-order-0.18.2-r4.apk
2024-04-16 02:00
15K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-04-16 02:00
6.0K
py3-flake8-debugger-4.1.2-r4.apk
2024-04-16 02:00
6.2K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-04-16 02:00
3.3K
py3-flake8-copyright-0.2.4-r3.apk
2024-04-16 02:00
18K
py3-flake8-builtins-pyc-2.1.0-r3.apk
2024-04-16 02:00
7.0K
py3-flake8-builtins-2.1.0-r3.apk
2024-04-16 02:00
14K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-04-16 02:00
2.6K
py3-flake8-blind-except-0.2.1-r4.apk
2024-04-16 02:00
5.2K
py3-firmata-pyc-1.0.3-r9.apk
2024-04-16 02:00
21K
py3-firmata-1.0.3-r9.apk
2024-04-16 02:00
13K
py3-findpython-pyc-0.6.1-r0.apk
2024-04-26 01:13
30K
py3-findpython-0.6.1-r0.apk
2024-04-26 01:13
18K
py3-ffmpeg-pyc-0.2.0-r3.apk
2024-04-16 02:00
33K
py3-ffmpeg-0.2.0-r3.apk
2024-04-16 02:00
20K
py3-feedgenerator-pyc-2.1.0-r1.apk
2024-04-16 02:00
27K
py3-feedgenerator-2.1.0-r1.apk
2024-04-16 02:00
17K
py3-feedgen-pyc-1.0.0-r1.apk
2024-04-16 02:00
62K
py3-feedgen-1.0.0-r1.apk
2024-04-16 02:00
40K
py3-fastdiff-pyc-0.3.0-r4.apk
2024-04-16 02:00
4.2K
py3-fastdiff-0.3.0-r4.apk
2024-04-16 02:00
37K
py3-fastapi-pyc-0.111.0-r0.apk
2024-05-24 14:53
142K
py3-fastapi-0.111.0-r0.apk
2024-05-24 14:53
83K
py3-falcon-pyc-3.1.3-r0.apk
2024-05-27 04:18
337K
py3-falcon-3.1.3-r0.apk
2024-05-27 04:18
1.5M
py3-evohome-client-pyc-0.3.7-r3.apk
2024-04-16 02:00
27K
py3-evohome-client-0.3.7-r3.apk
2024-04-16 02:00
15K
py3-eventlet-pyc-0.36.1-r0.apk
2024-04-15 01:31
336K
py3-eventlet-0.36.1-r0.apk
2024-04-15 01:31
334K
py3-euclid3-pyc-0.01-r7.apk
2024-04-16 02:00
33K
py3-euclid3-0.01-r7.apk
2024-04-16 02:00
14K
py3-eradicate-pyc-2.3.0-r1.apk
2024-04-16 02:00
8.4K
py3-eradicate-doc-2.3.0-r1.apk
2024-04-16 02:00
2.5K
py3-eradicate-2.3.0-r1.apk
2024-04-16 02:00
7.5K
py3-enzyme-pyc-0.4.1-r4.apk
2024-04-16 02:00
30K
py3-enzyme-0.4.1-r4.apk
2024-04-16 02:00
48K
py3-empy-pyc-3.3.4-r6.apk
2024-04-16 02:00
58K
py3-empy-3.3.4-r6.apk
2024-04-16 02:00
30K
py3-editdistance-s-pyc-1.0.0-r5.apk
2024-04-16 02:00
2.0K
py3-editdistance-s-1.0.0-r5.apk
2024-04-16 02:00
13K
py3-ecos-pyc-2.0.11-r3.apk
2024-04-16 02:00
3.6K
py3-ecos-2.0.11-r3.apk
2024-04-16 02:00
14K
py3-dweepy-pyc-0.3.0-r7.apk
2024-04-16 02:00
6.3K
py3-dweepy-0.3.0-r7.apk
2024-04-16 02:00
9.1K
py3-duniterpy-1.1.1-r3.apk
2024-04-16 02:00
221K
py3-dunamai-pyc-1.21.1-r0.apk
2024-05-26 16:59
42K
py3-dunamai-1.21.1-r0.apk
2024-05-26 16:59
26K
py3-dt-schema-pyc-2024.04-r0.apk
2024-04-19 04:47
47K
py3-dt-schema-2024.04-r0.apk
2024-04-19 04:47
78K
py3-drf-yasg-pyc-1.21.7-r1.apk
2024-04-16 02:00
97K
py3-drf-yasg-1.21.7-r1.apk
2024-04-16 02:00
4.0M
py3-dpath-pyc-2.1.6-r1.apk
2024-04-16 02:00
18K
py3-dpath-2.1.6-r1.apk
2024-04-16 02:00
16K
py3-downloader-cli-pyc-0.3.4-r1.apk
2024-04-16 02:00
14K
py3-downloader-cli-0.3.4-r1.apk
2024-04-16 02:00
12K
py3-dotty-dict-pyc-1.3.1-r3.apk
2024-04-16 02:00
8.7K
py3-dotty-dict-1.3.1-r3.apk
2024-04-16 02:00
7.5K
py3-dominate-pyc-2.9.1-r1.apk
2024-04-16 02:00
34K
py3-dominate-2.9.1-r1.apk
2024-04-16 02:00
25K
py3-doit-pyc-0.36.0-r4.apk
2024-04-16 02:00
134K
py3-doit-0.36.0-r4.apk
2024-04-16 02:00
76K
py3-dogpile.cache-pyc-1.2.2-r1.apk
2024-04-16 02:00
77K
py3-dogpile.cache-1.2.2-r1.apk
2024-04-16 02:00
46K
py3-docformatter-pyc-1.7.5-r3.apk
2024-04-16 02:00
36K
py3-docformatter-1.7.5-r3.apk
2024-04-16 02:00
25K
py3-dnslib-pyc-0.9.24-r1.apk
2024-04-16 02:00
108K
py3-dnslib-0.9.24-r1.apk
2024-04-16 02:00
51K
py3-dkimpy-pyc-1.1.6-r0.apk
2024-04-24 23:04
48K
py3-dkimpy-doc-1.1.6-r0.apk
2024-04-24 23:04
12K
py3-dkimpy-1.1.6-r0.apk
2024-04-24 23:04
33K
py3-django-timezone-field-pyc-6.1.0-r2.apk
2024-04-16 02:00
11K
py3-django-timezone-field-6.1.0-r2.apk
2024-04-16 02:00
12K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-04-16 02:00
5.0K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-04-16 02:00
4.0K
py3-django-suit-pyc-0.2.28-r7.apk
2024-04-16 02:00
33K
py3-django-suit-0.2.28-r7.apk
2024-04-16 02:00
354K
py3-django-mptt-pyc-0.16-r2.apk
2024-04-16 02:00
72K
py3-django-mptt-0.16-r2.apk
2024-04-16 02:00
82K
py3-django-js-asset-pyc-2.2-r2.apk
2024-04-16 02:00
4.2K
py3-django-js-asset-2.2-r2.apk
2024-04-16 02:00
5.8K
py3-django-debug-toolbar-pyc-4.4.2-r0.apk
2024-05-29 23:29
80K
py3-django-debug-toolbar-4.4.2-r0.apk
2024-05-29 23:29
146K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r4.apk
2024-04-16 02:00
15K
py3-django-compress-staticfiles-1.0.1_beta0-r4.apk
2024-04-16 02:00
14K
py3-distorm3-pyc-3.5.2-r5.apk
2024-04-16 02:00
48K
py3-distorm3-3.5.2-r5.apk
2024-04-16 02:00
45K
py3-diskcache-pyc-5.6.3-r1.apk
2024-04-16 02:00
67K
py3-diskcache-5.6.3-r1.apk
2024-04-16 02:00
42K
py3-discogs-client-pyc-2.7-r2.apk
2024-04-16 02:00
32K
py3-discogs-client-2.7-r2.apk
2024-04-16 02:00
16K
py3-discid-pyc-1.2.0-r5.apk
2024-04-16 02:00
13K
py3-discid-1.2.0-r5.apk
2024-04-16 02:00
9.6K
py3-dict2xml-pyc-1.7.5-r2.apk
2024-04-16 02:00
7.8K
py3-dict2xml-1.7.5-r2.apk
2024-04-16 02:00
9.1K
py3-dexml-pyc-0.5.1-r9.apk
2024-04-16 02:00
37K
py3-dexml-0.5.1-r9.apk
2024-04-16 02:00
22K
py3-dep-logic-pyc-0.2.0-r1.apk
2024-04-16 02:00
39K
py3-dep-logic-0.2.0-r1.apk
2024-04-16 02:00
22K
py3-dbus-fast-pyc-2.21.3-r0.apk
2024-06-09 00:28
127K
py3-dbus-fast-doc-2.21.3-r0.apk
2024-06-09 00:28
5.4K
py3-dbus-fast-2.21.3-r0.apk
2024-06-09 00:28
548K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-04-16 02:00
4.2K
py3-daterangestr-0.0.3-r8.apk
2024-04-16 02:00
4.4K
py3-dateparser-pyc-1.2.0-r1.apk
2024-04-16 02:00
341K
py3-dateparser-1.2.0-r1.apk
2024-04-16 02:00
205K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-04-16 02:00
14K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-04-16 02:00
11K
py3-dataclasses-json-pyc-0.6.6-r0.apk
2024-05-27 19:52
35K
py3-dataclasses-json-0.6.6-r0.apk
2024-05-27 19:52
27K
py3-daemon-pyc-3.0.1-r0.apk
2024-05-29 03:30
20K
py3-daemon-3.0.1-r0.apk
2024-05-29 03:30
31K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
2024-05-11 00:54
1.8K
py3-cython-test-exception-raiser-1.0.2-r0.apk
2024-05-11 00:54
16K
py3-cvxpy-pyc-1.2.1-r4.apk
2024-04-16 02:00
936K
py3-cvxpy-1.2.1-r4.apk
2024-04-16 02:00
606K
py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk
2024-04-16 02:00
10K
py3-cucumber-tag-expressions-6.0.0-r1.apk
2024-04-16 02:00
8.5K
py3-cstruct-pyc-5.3-r1.apk
2024-04-16 02:00
36K
py3-cstruct-5.3-r1.apk
2024-04-16 02:00
22K
py3-cssutils-pyc-2.10.2-r0.apk
2024-04-26 15:58
277K
py3-cssutils-2.10.2-r0.apk
2024-04-26 15:58
167K
py3-createrepo_c-pyc-1.0.2-r1.apk
2024-04-16 02:00
7.7K
py3-createrepo_c-1.0.2-r1.apk
2024-04-16 02:00
36K
py3-crc16-pyc-0.1.1-r10.apk
2024-04-16 02:00
4.7K
py3-crc16-0.1.1-r10.apk
2024-04-16 02:00
12K
py3-coreapi-pyc-2.3.3-r8.apk
2024-04-16 02:00
43K
py3-coreapi-2.3.3-r8.apk
2024-04-16 02:00
21K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-04-16 02:00
47K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-04-16 02:00
3.7K
py3-cookiecutter-2.6.0-r1.apk
2024-04-16 02:00
35K
py3-confluent-kafka-pyc-1.8.2-r4.apk
2024-04-16 02:00
77K
py3-confluent-kafka-1.8.2-r4.apk
2024-04-16 02:00
87K
py3-compdb-pyc-0.2.0-r7.apk
2024-04-16 02:00
40K
py3-compdb-doc-0.2.0-r7.apk
2024-04-16 02:00
3.1K
py3-compdb-0.2.0-r7.apk
2024-04-16 02:00
22K
py3-columnize-pyc-0.3.11-r3.apk
2024-04-16 02:00
7.5K
py3-columnize-0.3.11-r3.apk
2024-04-16 02:00
7.6K
py3-colorthief-pyc-0.2.1-r1.apk
2024-04-16 02:00
10K
py3-colorthief-0.2.1-r1.apk
2024-04-16 02:00
7.3K
py3-colored-pyc-1.4.4-r2.apk
2024-04-16 02:00
17K
py3-colored-1.4.4-r2.apk
2024-04-16 02:00
13K
py3-colander-pyc-2.0-r1.apk
2024-04-16 02:00
42K
py3-colander-2.0-r1.apk
2024-04-16 02:00
60K
py3-cobs-pyc-1.2.0-r3.apk
2024-04-16 02:00
13K
py3-cobs-1.2.0-r3.apk
2024-04-16 02:00
15K
py3-cmd2-pyc-2.4.3-r2.apk
2024-04-16 02:00
223K
py3-cmd2-2.4.3-r2.apk
2024-04-16 02:00
139K
py3-clickclick-pyc-20.10.2-r2.apk
2023-04-24 03:29
10K
py3-clickclick-20.10.2-r2.apk
2023-04-24 03:29
7.7K
py3-click-threading-pyc-0.5.0-r4.apk
2024-04-16 02:00
7.9K
py3-click-threading-0.5.0-r4.apk
2024-04-16 02:00
5.4K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-04-16 02:00
4.5K
py3-click-default-group-1.2.4-r1.apk
2024-04-16 02:00
5.1K
py3-click-completion-pyc-0.5.2-r1.apk
2024-04-16 02:00
14K
py3-click-completion-0.5.2-r1.apk
2024-04-16 02:00
11K
py3-class-doc-pyc-1.25-r1.apk
2024-04-16 02:00
8.7K
py3-class-doc-1.25-r1.apk
2024-04-16 02:00
6.1K
py3-cjkwrap-pyc-2.2-r3.apk
2024-04-16 02:00
5.3K
py3-cjkwrap-2.2-r3.apk
2024-04-16 02:00
4.5K
py3-ciso8601-2.3.1-r1.apk
2024-04-16 02:00
16K
py3-chameleon-pyc-4.5.4-r0.apk
2024-04-14 14:23
131K
py3-chameleon-4.5.4-r0.apk
2024-04-14 14:23
97K
py3-certauth-pyc-1.3.0-r0.apk
2023-10-21 10:20
9.7K
py3-certauth-1.3.0-r0.apk
2023-10-21 10:20
8.7K
py3-cdio-pyc-2.1.1-r4.apk
2024-04-16 02:00
43K
py3-cdio-2.1.1-r4.apk
2024-04-16 02:00
84K
py3-cchardet-pyc-2.1.7-r4.apk
2024-04-16 02:00
3.1K
py3-cchardet-2.1.7-r4.apk
2024-04-16 02:00
102K
py3-catkin-pkg-pyc-0.5.2-r3.apk
2024-04-16 02:00
103K
py3-catkin-pkg-0.5.2-r3.apk
2024-04-16 02:00
55K
py3-cassandra-driver-pyc-3.29.1-r0.apk
2024-04-16 02:00
561K
py3-cassandra-driver-3.29.1-r0.apk
2024-04-16 02:00
289K
py3-caldav-pyc-1.3.9-r1.apk
2024-04-16 02:00
80K
py3-caldav-1.3.9-r1.apk
2024-04-16 02:00
64K
py3-c3d-pyc-0.5.2-r1.apk
2024-04-16 02:00
54K
py3-c3d-0.5.2-r1.apk
2024-04-16 02:00
32K
py3-businesstime-pyc-0.3.0-r9.apk
2024-04-16 02:00
16K
py3-businesstime-0.3.0-r9.apk
2024-04-16 02:00
11K
py3-bson-pyc-0.5.10-r5.apk
2024-04-16 02:00
19K
py3-bson-0.5.10-r5.apk
2024-04-16 02:00
11K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-04-16 02:00
4.3K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-04-16 02:00
4.1K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-04-16 02:00
3.1K
py3-bottle-websocket-0.2.9-r8.apk
2024-04-16 02:00
4.6K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-04-16 02:00
5.2K
py3-bottle-sqlite-0.2.0-r7.apk
2024-04-16 02:00
4.7K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-04-16 02:00
5.6K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-04-16 02:00
4.8K
py3-bottle-session-pyc-1.0-r6.apk
2024-04-16 02:00
7.8K
py3-bottle-session-1.0-r6.apk
2024-04-16 02:00
10K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-04-16 02:00
5.2K
py3-bottle-rest-0.6.0-r1.apk
2024-04-16 02:00
6.1K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-04-16 02:00
2.6K
py3-bottle-request-0.2.0-r9.apk
2024-04-16 02:00
3.2K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-04-16 02:00
3.7K
py3-bottle-renderer-0.1.1-r9.apk
2024-04-16 02:00
4.0K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-04-16 02:00
3.1K
py3-bottle-redis-0.2.3-r6.apk
2024-04-16 02:00
3.3K
py3-bottle-pgsql-0.2-r5.apk
2024-04-16 02:00
4.3K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-04-16 02:00
5.2K
py3-bottle-api-0.0.4-r7.apk
2024-04-16 02:00
4.9K
py3-bookkeeper-pyc-4.16.2-r1.apk
2024-04-16 02:00
68K
py3-bookkeeper-4.16.2-r1.apk
2024-04-16 02:00
43K
py3-blockdiag-tests-3.0.0-r4.apk
2024-04-16 02:00
2.5M
py3-blockdiag-pyc-3.0.0-r4.apk
2024-04-16 02:00
150K
py3-blockdiag-3.0.0-r4.apk
2024-04-16 02:00
70K
py3-blockchain-pyc-1.4.4-r6.apk
2024-04-16 02:00
18K
py3-blockchain-1.4.4-r6.apk
2024-04-16 02:00
10K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-04-16 02:00
13K
py3-bitstruct-8.19.0-r1.apk
2024-04-16 02:00
33K
py3-bite-parser-pyc-0.2.4-r1.apk
2024-04-16 02:00
24K
py3-bite-parser-0.2.4-r1.apk
2024-04-16 02:00
14K
py3-bidict-pyc-0.23.1-r1.apk
2024-04-16 02:00
29K
py3-bidict-0.23.1-r1.apk
2024-04-16 02:00
28K
py3-bencode-pyc-4.0.0-r1.apk
2024-04-16 02:00
10K
py3-bencode-4.0.0-r1.apk
2024-04-16 02:00
17K
py3-beartype-pyc-0.18.5-r0.apk
2024-04-25 03:47
525K
py3-beartype-0.18.5-r0.apk
2024-04-25 03:47
731K
py3-base58-2.1.1-r1.apk
2024-04-16 02:00
10K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-04-16 02:00
4.3K
py3-barcodenumber-0.2.1-r10.apk
2024-04-16 02:00
16K
py3-bandwidth-sdk-pyc-3.1.0-r7.apk
2024-04-16 02:00
69K
py3-bandwidth-sdk-3.1.0-r7.apk
2024-04-16 02:00
44K
py3-banal-pyc-1.0.6-r3.apk
2024-04-16 02:00
7.2K
py3-banal-1.0.6-r3.apk
2024-04-16 02:00
5.7K
py3-avro-pyc-1.11.3-r1.apk
2024-04-16 02:00
191K
py3-avro-1.11.3-r1.apk
2024-04-16 02:00
98K
py3-authres-pyc-1.2.0-r1.apk
2024-04-16 02:00
22K
py3-authres-1.2.0-r1.apk
2024-04-16 02:00
22K
py3-asysocks-pyc-0.2.12-r1.apk
2024-04-16 02:00
231K
py3-asysocks-0.2.12-r1.apk
2024-04-16 02:00
87K
py3-async-lru-pyc-2.0.4-r1.apk
2024-04-16 02:00
8.6K
py3-async-lru-2.0.4-r1.apk
2024-04-16 02:00
7.3K
py3-asyauth-pyc-0.0.20-r1.apk
2024-04-16 02:00
171K
py3-asyauth-0.0.20-r1.apk
2024-04-16 02:00
79K
py3-astral-pyc-3.2-r3.apk
2024-04-16 02:00
59K
py3-astral-3.2-r3.apk
2024-04-16 02:00
37K
py3-ask-pyc-0.0.8-r8.apk
2024-04-16 02:00
4.5K
py3-ask-0.0.8-r8.apk
2024-04-16 02:00
5.0K
py3-asif-pyc-0.3.2-r2.apk
2024-04-16 02:00
26K
py3-asif-0.3.2-r2.apk
2024-04-16 02:00
12K
py3-arpeggio-pyc-2.0.2-r1.apk
2024-04-16 02:00
41K
py3-arpeggio-2.0.2-r1.apk
2024-04-16 02:00
22K
py3-arcus-5.3.0-r0.apk
2024-04-21 20:48
67K
py3-apsw-pyc-3.45.2.0-r1.apk
2024-04-16 02:00
319K
py3-apsw-3.45.2.0-r1.apk
2024-04-16 02:00
337K
py3-apicula-pyc-0.11.1-r1.apk
2024-04-16 02:00
179K
py3-apicula-0.11.1-r1.apk
2024-04-16 02:00
8.5M
py3-anyascii-pyc-0.3.2-r1.apk
2024-04-16 02:00
3.3K
py3-anyascii-0.3.2-r1.apk
2024-04-16 02:00
275K
py3-ansi2html-pyc-1.9.1-r1.apk
2024-04-16 02:00
22K
py3-ansi2html-1.9.1-r1.apk
2024-04-16 02:00
18K
py3-altgraph-pyc-0.17.4-r1.apk
2024-04-16 02:00
29K
py3-altgraph-0.17.4-r1.apk
2024-04-16 02:00
21K
py3-allfiles-pyc-1.0-r8.apk
2024-04-16 02:00
3.3K
py3-allfiles-1.0-r8.apk
2024-04-16 02:00
3.6K
py3-aioxmpp-pyc-0.13.3-r2.apk
2024-04-16 02:00
673K
py3-aioxmpp-doc-0.13.3-r2.apk
2024-04-16 02:00
18K
py3-aioxmpp-0.13.3-r2.apk
2024-04-16 02:00
368K
py3-aiowinreg-pyc-0.0.12-r0.apk
2024-05-13 10:06
45K
py3-aiowinreg-0.0.12-r0.apk
2024-05-13 10:06
23K
py3-aiosmb-pyc-0.4.10-r1.apk
2024-04-16 02:00
1.1M
py3-aiosmb-0.4.10-r1.apk
2024-04-16 02:00
597K
py3-aiosasl-pyc-0.5.0-r3.apk
2024-04-16 02:00
24K
py3-aiosasl-doc-0.5.0-r3.apk
2024-04-16 02:00
16K
py3-aiosasl-0.5.0-r3.apk
2024-04-16 02:00
15K
py3-aioopenssl-pyc-0.6.0-r3.apk
2024-04-16 02:00
19K
py3-aioopenssl-0.6.0-r3.apk
2024-04-16 02:00
17K
py3-aioitertools-pyc-0.11.0-r0.apk
2024-05-27 04:18
52K
py3-aioitertools-0.11.0-r0.apk
2024-05-27 04:18
21K
py3-aiohttp-session-pyc-2.12.0-r3.apk
2024-04-16 02:00
15K
py3-aiohttp-session-2.12.0-r3.apk
2024-04-16 02:00
10K
py3-aiohttp-remotes-pyc-1.2.0-r3.apk
2024-04-16 02:00
19K
py3-aiohttp-remotes-1.2.0-r3.apk
2024-04-16 02:00
11K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-04-16 02:00
9.2K
py3-aiohttp-jinja2-1.6-r2.apk
2024-04-16 02:00
12K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk
2024-04-16 02:00
51K
py3-aiohttp-debugtoolbar-0.6.1-r1.apk
2024-04-16 02:00
437K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-04-16 02:00
60K
py3-aiodocker-0.21.0-r1.apk
2024-04-16 02:00
29K
py3-agithub-pyc-2.2.2-r5.apk
2024-04-16 02:00
22K
py3-agithub-2.2.2-r5.apk
2024-04-16 02:00
17K
py3-aesedb-pyc-0.1.6-r2.apk
2024-04-16 02:00
76K
py3-aesedb-0.1.6-r2.apk
2024-04-16 02:00
37K
py3-actdiag-pyc-3.0.0-r3.apk
2023-04-22 00:36
22K
py3-actdiag-3.0.0-r3.apk
2023-04-22 00:36
13K
pxmenu-1.0.0-r1.apk
2023-06-15 13:47
2.9K
pxalarm-3.0.0-r0.apk
2024-05-10 03:52
2.9K
pwauth-doc-2.3.11-r2.apk
2022-10-25 01:23
6.8K
pwauth-2.3.11-r2.apk
2022-10-25 01:23
4.0K
pw-volume-0.5.0-r1.apk
2023-05-24 07:50
282K
purple-hangouts-0_git20200422-r0.apk
2020-07-23 02:01
188K
purple-facebook-0.9.6-r0.apk
2020-07-23 22:39
65K
pulseview-doc-0.4.2-r8.apk
2024-04-22 19:49
3.7K
pulseview-0.4.2-r8.apk
2024-04-22 19:49
808K
pulsar-client-cpp-dev-3.1.2-r4.apk
2024-04-22 19:49
55K
pulsar-client-cpp-3.1.2-r4.apk
2024-04-22 19:49
1.0M
pully-openrc-1.0.0-r0.apk
2022-02-26 18:06
1.7K
pully-1.0.0-r0.apk
2022-02-26 18:06
2.5K
ptylie-doc-0.2-r1.apk
2022-10-25 01:23
3.2K
ptylie-0.2-r1.apk
2022-10-25 01:23
10K
ptpd-openrc-2.3.1-r1.apk
2022-10-25 01:23
2.4K
ptpd-doc-2.3.1-r1.apk
2022-10-25 01:23
20K
ptpd-2.3.1-r1.apk
2022-10-25 01:23
175K
pspp-doc-1.4.1-r3.apk
2023-07-04 00:43
422K
pspp-dbg-1.4.1-r3.apk
2023-07-04 00:43
3.8M
pspp-1.4.1-r3.apk
2023-07-04 00:43
7.5M
psi-plus-plugins-1.5.1653-r0.apk
2024-02-24 00:04
1.6M
psi-plus-1.5.1653-r0.apk
2024-02-24 00:04
8.2M
psi-notify-1.3.1-r0.apk
2023-04-09 14:03
11K
psftools-doc-1.1.1-r0.apk
2022-01-11 21:17
52K
psftools-dev-1.1.1-r0.apk
2022-01-11 21:17
40K
psftools-1.1.1-r0.apk
2022-01-11 21:17
170K
prowlarr-openrc-1.18.0.4543-r0.apk
2024-06-03 08:46
2.1K
prowlarr-1.18.0.4543-r0.apk
2024-06-03 08:46
16M
protoconf-0.1.7-r6.apk
2024-05-19 02:22
6.9M
protoc-gen-go-1.34.0-r1.apk
2024-05-19 02:22
1.7M
prosody-modules-0.11_hg20201208-r0.apk
2020-12-08 22:10
1.5K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.6K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.7K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.0K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2020-12-08 22:10
8.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.8K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2020-12-08 22:10
1.6K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2020-12-08 22:10
1.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.0K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2020-12-08 22:10
104K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2020-12-08 22:10
3.7K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2020-12-08 22:10
6.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2020-12-08 22:10
5.6K
prosody-mod-mam-0.11_hg20201208-r0.apk
2020-12-08 22:10
5.9K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.2K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2020-12-08 22:10
1.8K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.0K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.9K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.8K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2020-12-08 22:10
3.3K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2020-12-08 22:10
7.2K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.0K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2020-12-08 22:10
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2020-12-08 22:10
3.1K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2020-12-08 22:10
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.6K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2020-12-08 22:10
1.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2020-12-08 22:10
2.8K
proot-static-5.4.0-r0.apk
2023-06-15 13:47
91K
proot-doc-5.4.0-r0.apk
2023-06-15 13:47
9.7K
proot-5.4.0-r0.apk
2023-06-15 13:47
63K
prometheus-unbound-exporter-openrc-0.4.1-r6.apk
2024-05-19 02:22
2.0K
prometheus-unbound-exporter-0.4.1-r6.apk
2024-05-19 02:22
3.2M
prometheus-smokeping-prober-openrc-0.7.1-r5.apk
2024-05-19 02:22
2.0K
prometheus-smokeping-prober-0.7.1-r5.apk
2024-05-19 02:22
4.1M
prometheus-smartctl-exporter-openrc-0.12.0-r3.apk
2024-05-19 02:22
1.9K
prometheus-smartctl-exporter-0.12.0-r3.apk
2024-05-19 02:22
3.8M
prometheus-rethinkdb-exporter-openrc-1.0.1-r21.apk
2024-05-19 02:22
1.7K
prometheus-rethinkdb-exporter-1.0.1-r21.apk
2024-05-19 02:22
3.7M
prometheus-podman-exporter-1.11.0-r1.apk
2024-05-19 02:22
14M
prometheus-bind-exporter-openrc-0.7.0-r4.apk
2024-05-19 02:22
1.9K
prometheus-bind-exporter-0.7.0-r4.apk
2024-05-19 02:22
4.1M
projectsandcastle-loader-0_git20200307-r1.apk
2022-10-25 01:23
5.0K
projectm-sdl-3.1.12-r2.apk
2024-05-08 15:01
283K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-05-08 15:01
2.0K
projectm-pulseaudio-3.1.12-r2.apk
2024-05-08 15:01
366K
projectm-presets-3.1.12-r2.apk
2024-05-08 15:01
4.3M
projectm-dev-3.1.12-r2.apk
2024-05-08 15:01
632K
projectm-3.1.12-r2.apk
2024-05-08 15:01
399K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-01-12 03:16
748K
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-01-12 03:16
1.1M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-01-12 03:16
1.0M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-01-12 03:16
39K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-01-12 03:16
2.1M
prjtrellis-db-0_git20230929-r0.apk
2024-01-12 03:16
3.3K
prjtrellis-1.4-r2.apk
2024-04-22 19:49
1.1M
primesieve-libs-12.3-r0.apk
2024-05-01 19:11
80K
primesieve-doc-12.3-r0.apk
2024-05-01 19:11
4.0K
primesieve-dev-12.3-r0.apk
2024-05-01 19:11
1.3M
primesieve-12.3-r0.apk
2024-05-01 19:11
41K
primecount-libs-7.13-r0.apk
2024-05-01 19:11
113K
primecount-doc-7.13-r0.apk
2024-05-01 19:11
3.8K
primecount-dev-7.13-r0.apk
2024-05-01 19:11
2.4M
primecount-7.13-r0.apk
2024-05-01 19:11
26K
pqiv-doc-2.12-r1.apk
2022-10-25 01:23
12K
pqiv-2.12-r1.apk
2022-10-25 01:23
59K
pptpclient-doc-1.10.0-r4.apk
2023-07-04 00:43
7.2K
pptpclient-1.10.0-r4.apk
2023-07-04 00:43
31K
ppl-doc-1.2-r1.apk
2023-05-15 18:04
9.1M
ppl-dev-1.2-r1.apk
2023-05-15 18:03
609K
ppl-1.2-r1.apk
2023-05-15 18:03
33K
powersupply-0.9.0-r0.apk
2024-01-07 23:34
13K
powerstat-doc-0.04.01-r0.apk
2024-01-04 21:58
4.2K
powerstat-bash-completion-0.04.01-r0.apk
2024-01-04 21:58
2.3K
powerstat-0.04.01-r0.apk
2024-01-04 21:58
19K
powerline-extra-symbols-doc-0_git20191017-r0.apk
2021-03-21 07:00
2.4K
powerline-extra-symbols-0_git20191017-r0.apk
2021-03-21 07:00
287K
power-profiles-daemon-0.20-r1.apk
2024-04-02 08:40
29K
powder-toy-97.0.352-r0.apk
2023-05-06 22:12
733K
pounce-openrc-3.1-r3.apk
2024-01-04 21:58
2.8K
pounce-doc-3.1-r3.apk
2024-01-04 21:58
8.5K
pounce-3.1-r3.apk
2024-01-04 21:58
26K
postgresql-pgmq-1.1.1-r0.apk
2024-01-28 00:24
247K
postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk
2023-10-04 07:44
54K
postgresql-pg_variables-1.2.4_git20220909-r2.apk
2023-10-04 07:44
20K
postgresql-pg_partman-scripts-5.0.0-r0.apk
2023-12-17 23:09
7.7K
postgresql-pg_partman-doc-5.0.0-r0.apk
2023-12-17 23:09
47K
postgresql-pg_partman-bitcode-5.0.0-r0.apk
2023-12-17 23:09
23K
postgresql-pg_partman-5.0.0-r0.apk
2023-12-17 23:09
971K
postgresql-pg_later-0.0.14-r0.apk
2024-01-31 02:38
575K
postgresql-pg_graphql-1.4.2-r0.apk
2023-12-18 23:16
500K
portsmf-dev-239-r1.apk
2023-03-17 19:52
20K
portsmf-239-r1.apk
2023-03-17 19:52
47K
popeye-0.21.3-r1.apk
2024-05-19 02:22
23M
pongoos-loader-0_git20210704-r1.apk
2022-10-25 01:23
2.4K
pomo-doc-0.8.1-r16.apk
2024-05-19 02:22
2.7K
pomo-0.8.1-r16.apk
2024-05-19 02:22
1.4M
polyglot-doc-2.0.4-r1.apk
2023-08-01 16:54
48K
polyglot-2.0.4-r1.apk
2023-08-01 16:54
60K
policycoreutils-lang-3.6-r0.apk
2024-01-07 22:47
108K
policycoreutils-doc-3.6-r0.apk
2024-01-07 22:47
23K
policycoreutils-bash-completion-3.6-r0.apk
2024-01-07 22:47
2.5K
policycoreutils-3.6-r0.apk
2024-01-07 22:47
50K
pokoy-doc-0.2.5-r0.apk
2023-05-22 23:23
3.0K
pokoy-0.2.5-r0.apk
2023-05-22 23:23
8.2K
poke-doc-4.1-r0.apk
2024-06-02 16:54
201K
poke-4.1-r0.apk
2024-06-02 16:54
1.1M
pnmixer-lang-0.7.2-r3.apk
2023-10-12 13:25
25K
pnmixer-doc-0.7.2-r3.apk
2023-10-12 13:25
2.3K
pnmixer-0.7.2-r3.apk
2023-10-12 13:25
138K
pmccabe-doc-2.8-r1.apk
2022-10-25 01:23
7.1K
pmccabe-2.8-r1.apk
2022-10-25 01:23
23K
plzip-doc-1.11-r0.apk
2024-01-25 16:56
16K
plzip-1.11-r0.apk
2024-01-25 16:56
40K
plplot-libs-5.15.0-r2.apk
2022-10-25 01:23
176K
plplot-doc-5.15.0-r2.apk
2022-10-25 01:23
311K
plplot-dev-5.15.0-r2.apk
2022-10-25 01:23
59K
plplot-5.15.0-r2.apk
2022-10-25 01:23
31K
plots-0.7.0-r0.apk
2023-09-25 06:43
506K
plib-1.8.5-r3.apk
2023-10-30 01:36
836K
plfit-static-0.9.4-r2.apk
2023-08-01 16:54
28K
plfit-libs-0.9.4-r2.apk
2023-08-01 16:54
23K
plfit-dev-0.9.4-r2.apk
2023-08-01 16:54
6.2K
plfit-0.9.4-r2.apk
2023-08-01 16:54
34K
please-doc-0.4.2-r2.apk
2023-05-24 07:50
14K
please-0.4.2-r2.apk
2023-05-24 07:50
832K
plattenalbum-lang-2.1.0-r0.apk
2024-05-07 21:43
15K
plattenalbum-2.1.0-r0.apk
2024-05-07 21:43
32K
platformio-core-pyc-6.1.7-r2.apk
2024-05-14 18:33
552K
platformio-core-6.1.7-r2.apk
2024-05-14 18:33
249K
planner-lang-0.14.92-r0.apk
2024-01-07 23:13
827K
planner-doc-0.14.92-r0.apk
2024-01-07 23:13
2.2K
planner-0.14.92-r0.apk
2024-01-07 23:13
315K
planarity-libs-3.0.2.0-r2.apk
2023-08-01 16:54
56K
planarity-doc-3.0.2.0-r2.apk
2023-08-01 16:54
13K
planarity-dev-3.0.2.0-r2.apk
2023-08-01 16:54
19K
planarity-3.0.2.0-r2.apk
2023-08-01 16:54
8.2K
pixiewps-doc-1.4.2-r1.apk
2022-07-26 08:46
3.4K
pixiewps-1.4.2-r1.apk
2022-07-26 08:46
36K
pixi-zsh-completion-0.21.1-r0.apk
2024-05-09 00:22
8.8K
pixi-fish-completion-0.21.1-r0.apk
2024-05-09 00:22
8.4K
pixi-doc-0.21.1-r0.apk
2024-05-09 00:22
6.9K
pixi-bash-completion-0.21.1-r0.apk
2024-05-09 00:22
6.0K
pixi-0.21.1-r0.apk
2024-05-09 00:22
7.5M
pitivi-pyc-2023.03-r1.apk
2024-04-16 17:53
704K
pitivi-lang-2023.03-r1.apk
2024-04-16 17:53
680K
pitivi-2023.03-r1.apk
2024-04-16 17:53
2.2M
pithos-pyc-1.6.1-r0.apk
2023-06-15 13:47
154K
pithos-doc-1.6.1-r0.apk
2023-06-15 13:47
2.1K
pithos-1.6.1-r0.apk
2023-06-15 13:47
104K
piping-server-openrc-0.18.0-r0.apk
2024-05-05 12:19
1.8K
piping-server-0.18.0-r0.apk
2024-05-05 12:19
1.2M
piper-tts-dev-2023.11.14.2-r4.apk
2024-06-08 13:15
141K
piper-tts-2023.11.14.2-r4.apk
2024-06-08 13:15
122K
piper-phonemize-libs-2023.11.14.4-r2.apk
2024-06-08 13:15
67K
piper-phonemize-dev-2023.11.14.4-r2.apk
2024-06-08 13:15
394K
piper-phonemize-2023.11.14.4-r2.apk
2024-06-08 13:15
9.0M
pipeline-lang-1.15.0-r0.apk
2024-05-29 00:45
34K
pipeline-doc-1.15.0-r0.apk
2024-05-29 00:45
14K
pipeline-1.15.0-r0.apk
2024-05-29 00:45
2.7M
pipectl-doc-0.4.1-r1.apk
2023-02-01 20:40
3.0K
pipectl-0.4.1-r1.apk
2023-02-01 20:40
5.4K
pinentry-bemenu-0.13.1-r0.apk
2024-03-22 15:22
7.6K
pimd-openrc-3.0_git20220201-r0.apk
2022-03-06 11:30
1.6K
pimd-doc-3.0_git20220201-r0.apk
2022-03-06 11:30
35K
pimd-dense-openrc-2.1.0-r0.apk
2023-01-15 01:03
1.9K
pimd-dense-doc-2.1.0-r0.apk
2023-01-15 01:03
20K
pimd-dense-2.1.0-r0.apk
2023-01-15 01:03
46K
pimd-3.0_git20220201-r0.apk
2022-03-06 11:30
76K
pigpio-openrc-79-r4.apk
2024-05-08 15:01
1.6K
pigpio-doc-79-r4.apk
2024-05-08 15:01
115K
pigpio-dev-79-r4.apk
2024-05-08 15:01
91K
pigpio-79-r4.apk
2024-05-08 15:01
200K
pidif-0.1-r1.apk
2023-05-24 07:50
141K
pict-rs-openrc-0.5.13-r0.apk
2024-04-16 13:20
1.9K
pict-rs-0.5.13-r0.apk
2024-04-16 13:20
5.5M
pick-doc-4.0.0-r0.apk
2023-05-18 12:05
3.3K
pick-4.0.0-r0.apk
2023-05-18 12:05
9.2K
php83-pecl-zmq-1.1.4-r0.apk
2023-11-22 15:31
29K
php83-pecl-vld-0.18.0-r1.apk
2024-02-03 00:57
14K
php83-pecl-phalcon-5.7.0-r0.apk
2024-05-21 19:01
1.8M
php83-pecl-jsmin-3.0.0-r0.apk
2023-09-17 23:55
9.1K
php83-pecl-excimer-1.2.1-r0.apk
2024-02-29 11:46
19K
php83-pecl-eio-3.1.3-r0.apk
2024-03-02 23:38
26K
php83-pecl-apfd-1.0.3-r0.apk
2023-12-20 17:48
4.3K
php82-snappy-0.2.1-r1.apk
2023-05-13 19:58
4.8K
php82-pecl-zephir_parser-1.6.1-r0.apk
2024-06-04 01:08
63K
php82-pecl-vld-0.18.0-r0.apk
2022-09-16 13:34
14K
php82-pecl-teds-1.3.0-r0.apk
2022-11-10 15:41
101K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-03-29 22:45
27K
php82-pecl-phalcon-5.7.0-r0.apk
2024-05-21 19:01
1.8M
php82-pecl-oauth-2.0.8-r0.apk
2022-12-12 17:42
34K
php82-pecl-jsmin-3.0.0-r0.apk
2023-09-17 23:55
9.1K
php82-pecl-immutable_cache-6.1.0-r0.apk
2022-12-04 04:49
36K
php82-pecl-excimer-1.2.1-r0.apk
2024-02-29 11:46
19K
php82-pecl-apfd-1.0.3-r0.apk
2023-12-20 17:48
4.3K
php82-pdlib-1.1.0-r1.apk
2024-04-02 10:24
471K
php81-zip-8.1.29-r0.apk
2024-06-06 22:03
24K
php81-xsl-8.1.29-r0.apk
2024-06-06 22:03
12K
php81-xmlwriter-8.1.29-r0.apk
2024-06-06 22:03
11K
php81-xmlreader-8.1.29-r0.apk
2024-06-06 22:03
12K
php81-xml-8.1.29-r0.apk
2024-06-06 22:03
17K
php81-tokenizer-8.1.29-r0.apk
2024-06-06 22:03
11K
php81-tidy-8.1.29-r0.apk
2024-06-06 22:03
18K
php81-tideways_xhprof-5.0.4-r1.apk
2022-05-10 22:18
12K
php81-sysvshm-8.1.29-r0.apk
2024-06-06 22:03
6.4K
php81-sysvsem-8.1.29-r0.apk
2024-06-06 22:03
5.5K
php81-sysvmsg-8.1.29-r0.apk
2024-06-06 22:03
7.2K
php81-sqlite3-8.1.29-r0.apk
2024-06-06 22:03
19K
php81-sodium-8.1.29-r0.apk
2024-06-06 22:03
26K
php81-sockets-8.1.29-r0.apk
2024-06-06 22:03
34K
php81-soap-8.1.29-r0.apk
2024-06-06 22:03
126K
php81-snmp-8.1.29-r0.apk
2024-06-06 22:03
19K
php81-simplexml-8.1.29-r0.apk
2024-06-06 22:03
20K
php81-shmop-8.1.29-r0.apk
2024-06-06 22:03
5.9K
php81-session-8.1.29-r0.apk
2024-06-06 22:03
34K
php81-pspell-8.1.29-r0.apk
2024-06-06 22:03
7.8K
php81-posix-8.1.29-r0.apk
2024-06-06 22:03
10K
php81-phpdbg-8.1.29-r0.apk
2024-06-06 22:03
1.7M
php81-phar-8.1.29-r0.apk
2024-06-06 22:03
117K
php81-pgsql-8.1.29-r0.apk
2024-06-06 22:03
42K
php81-pecl-zstd-0.13.3-r0.apk
2024-04-11 02:39
9.9K
php81-pecl-zephir_parser-1.6.1-r0.apk
2024-06-04 01:08
63K
php81-pecl-yaml-2.2.3-r1.apk
2024-04-11 02:39
17K
php81-pecl-xmlrpc-1.0.0_rc3-r1.apk
2023-04-29 19:30
31K
php81-pecl-xlswriter-1.5.5-r0.apk
2024-04-11 02:39
200K
php81-pecl-xhprof-assets-2.3.9-r3.apk
2024-06-04 02:37
801K
php81-pecl-xhprof-2.3.9-r3.apk
2024-06-04 02:37
11K
php81-pecl-xdebug-3.3.2-r2.apk
2024-06-04 02:37
132K
php81-pecl-uuid-1.2.0-r0.apk
2024-04-11 02:39
6.1K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-04-11 02:39
9.9K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-04-11 02:39
6.6K
php81-pecl-timezonedb-2024.1-r0.apk
2024-04-11 02:39
187K
php81-pecl-ssh2-1.4.1-r0.apk
2024-04-11 02:39
26K
php81-pecl-smbclient-1.1.1-r1.apk
2024-04-11 02:39
19K
php81-pecl-redis-6.0.2-r2.apk
2024-06-04 02:37
181K
php81-pecl-rdkafka-6.0.3-r2.apk
2024-04-11 02:39
32K
php81-pecl-psr-1.2.0-r0.apk
2024-04-11 02:39
17K
php81-pecl-protobuf-4.26.0-r0.apk
2024-04-11 02:39
118K
php81-pecl-pcov-1.0.11-r0.apk
2024-04-11 02:39
8.7K
php81-pecl-opentelemetry-1.0.3-r0.apk
2024-05-04 17:12
9.8K
php81-pecl-oauth-2.0.7-r0.apk
2022-05-10 22:18
33K
php81-pecl-msgpack-2.2.0-r2.apk
2024-06-04 02:37
24K
php81-pecl-mongodb-1.19.2-r0.apk
2024-06-06 17:55
768K
php81-pecl-memcached-3.2.0-r3.apk
2024-04-11 02:39
42K
php81-pecl-memcache-8.2-r1.apk
2024-04-11 02:39
39K
php81-pecl-mcrypt-1.0.7-r0.apk
2024-04-11 02:39
14K
php81-pecl-maxminddb-1.11.1-r0.apk
2024-04-11 02:39
7.8K
php81-pecl-mailparse-3.1.6-r0.apk
2024-04-11 02:39
20K
php81-pecl-lzf-1.7.0-r0.apk
2024-04-11 02:39
6.4K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-04-11 02:39
27K
php81-pecl-jsmin-3.0.0-r0.apk
2023-09-17 23:55
9.1K
php81-pecl-immutable_cache-6.1.0-r0.apk
2022-12-04 04:49
36K
php81-pecl-imagick-dev-3.7.0-r5.apk
2024-04-11 02:39
2.3K
php81-pecl-imagick-3.7.0-r5.apk
2024-04-11 02:39
100K
php81-pecl-igbinary-3.2.15-r0.apk
2024-04-11 02:39
28K
php81-pecl-grpc-1.64.1-r1.apk
2024-06-04 13:48
3.4M
php81-pecl-event-3.1.3-r0.apk
2024-04-11 02:39
46K
php81-pecl-ds-1.5.0-r0.apk
2024-04-11 02:39
49K
php81-pecl-decimal-1.5.0-r1.apk
2024-04-11 02:39
17K
php81-pecl-csv-0.4.2-r0.apk
2022-06-03 07:54
8.9K
php81-pecl-couchbase-4.2.1-r0.apk
2024-04-25 13:08
3.8M
php81-pecl-brotli-0.15.0-r2.apk
2024-06-04 02:37
9.9K
php81-pecl-ast-1.1.1-r0.apk
2024-04-11 02:39
19K
php81-pecl-apcu-5.1.23-r2.apk
2024-06-04 02:37
52K
php81-pecl-amqp-2.1.2-r0.apk
2024-04-11 02:39
55K
php81-pear-8.1.29-r0.apk
2024-06-06 22:03
344K
php81-pdo_sqlite-8.1.29-r0.apk
2024-06-06 22:03
12K
php81-pdo_pgsql-8.1.29-r0.apk
2024-06-06 22:03
18K
php81-pdo_odbc-8.1.29-r0.apk
2024-06-06 22:03
12K
php81-pdo_mysql-8.1.29-r0.apk
2024-06-06 22:03
12K
php81-pdo_dblib-8.1.29-r0.apk
2024-06-06 22:03
11K
php81-pdo-8.1.29-r0.apk
2024-06-06 22:03
38K
php81-pcntl-8.1.29-r0.apk
2024-06-06 22:03
13K
php81-openssl-8.1.29-r0.apk
2024-06-06 22:03
68K
php81-opcache-8.1.29-r0.apk
2024-06-06 22:03
65K
php81-odbc-8.1.29-r0.apk
2024-06-06 22:03
22K
php81-mysqlnd-8.1.29-r0.apk
2024-06-06 22:03
73K
php81-mysqli-8.1.29-r0.apk
2024-06-06 22:03
40K
php81-mbstring-8.1.29-r0.apk
2024-06-06 22:03
560K
php81-litespeed-8.1.29-r0.apk
2024-06-06 22:03
1.7M
php81-ldap-8.1.29-r0.apk
2024-06-06 22:03
29K
php81-intl-8.1.29-r0.apk
2024-06-06 22:03
133K
php81-imap-8.1.29-r0.apk
2024-06-06 22:03
32K
php81-iconv-8.1.29-r0.apk
2024-06-06 22:03
16K
php81-gmp-8.1.29-r0.apk
2024-06-06 22:03
21K
php81-gettext-8.1.29-r0.apk
2024-06-06 22:03
5.7K
php81-gd-8.1.29-r0.apk
2024-06-06 22:03
115K
php81-ftp-8.1.29-r0.apk
2024-06-06 22:03
22K
php81-fpm-8.1.29-r0.apk
2024-06-06 22:03
1.7M
php81-fileinfo-8.1.29-r0.apk
2024-06-06 22:03
375K
php81-ffi-8.1.29-r0.apk
2024-06-06 22:03
63K
php81-exif-8.1.29-r0.apk
2024-06-06 22:03
30K
php81-enchant-8.1.29-r0.apk
2024-06-06 22:03
8.1K
php81-embed-8.1.29-r0.apk
2024-06-06 22:03
1.6M
php81-dom-8.1.29-r0.apk
2024-06-06 22:03
56K
php81-doc-8.1.29-r0.apk
2024-06-06 22:03
68K
php81-dev-8.1.29-r0.apk
2024-06-06 22:03
951K
php81-dba-8.1.29-r0.apk
2024-06-06 22:03
20K
php81-curl-8.1.29-r0.apk
2024-06-06 22:03
35K
php81-ctype-8.1.29-r0.apk
2024-06-06 22:03
4.6K
php81-common-8.1.29-r0.apk
2024-06-06 22:03
25K
php81-cgi-8.1.29-r0.apk
2024-06-06 22:03
1.7M
php81-calendar-8.1.29-r0.apk
2024-06-06 22:03
13K
php81-bz2-8.1.29-r0.apk
2024-06-06 22:03
9.2K
php81-bcmath-8.1.29-r0.apk
2024-06-06 22:03
15K
php81-apache2-8.1.29-r0.apk
2024-06-06 22:03
1.7M
php81-8.1.29-r0.apk
2024-06-06 22:03
1.7M
phoronix-test-suite-doc-10.8.4-r2.apk
2023-10-13 20:32
287K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2023-10-13 20:32
1.7K
phoronix-test-suite-10.8.4-r2.apk
2023-10-13 20:32
3.9M
phonon-backend-vlc-qt6-0.12.0-r0.apk
2023-11-02 16:05
115K
phonon-backend-vlc-qt5-0.12.0-r0.apk
2023-11-02 16:05
92K
phonon-backend-vlc-lang-0.12.0-r0.apk
2023-11-02 16:05
17K
phonon-backend-vlc-0.12.0-r0.apk
2023-11-02 16:05
1.4K
pfqueue-doc-0.5.6-r1.apk
2022-10-14 16:53
6.2K
pfqueue-dev-0.5.6-r1.apk
2022-10-14 16:53
20K
pfqueue-0.5.6-r1.apk
2022-10-14 16:53
47K
pfetch-rs-doc-2.9.2-r0.apk
2024-06-07 10:30
2.2K
pfetch-rs-2.9.2-r0.apk
2024-06-07 10:30
902K
pfetch-0.6.0-r1.apk
2022-10-25 01:23
16K
pest-language-server-0.3.9-r0.apk
2024-04-08 22:16
1.0M
persistent-cache-cpp-doc-1.0.7-r1.apk
2024-04-22 19:49
3.2K
persistent-cache-cpp-dev-1.0.7-r1.apk
2024-04-22 19:49
18K
persistent-cache-cpp-1.0.7-r1.apk
2024-04-22 19:49
43K
perl-xml-stream-doc-1.24-r0.apk
2024-01-04 21:58
18K
perl-xml-stream-1.24-r0.apk
2024-01-04 21:58
44K
perl-xml-rpc-doc-2.1-r0.apk
2024-05-22 22:04
4.9K
perl-xml-rpc-2.1-r0.apk
2024-05-22 22:04
5.7K
perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk
2024-01-04 21:58
3.0K
perl-xml-libxml-sax-chunkparser-0.00008-r0.apk
2024-01-04 21:58
2.9K
perl-xml-feed-doc-0.63-r0.apk
2024-01-14 16:55
12K
perl-xml-feed-0.63-r0.apk
2024-01-14 16:55
14K
perl-xml-bare-doc-0.53-r12.apk
2023-10-17 18:36
11K
perl-xml-bare-0.53-r12.apk
2023-10-17 18:36
26K
perl-xml-atom-doc-0.43-r0.apk
2024-01-14 16:55
16K
perl-xml-atom-0.43-r0.apk
2024-01-14 16:55
20K
perl-x-tiny-doc-0.22-r0.apk
2024-04-16 19:37
7.6K
perl-x-tiny-0.22-r0.apk
2024-04-16 19:37
6.9K
perl-variable-disposition-doc-0.005-r0.apk
2024-01-16 16:12
5.6K
perl-variable-disposition-0.005-r0.apk
2024-01-16 16:12
3.3K
perl-url-encode-doc-0.03-r4.apk
2023-07-04 00:43
4.7K
perl-url-encode-0.03-r4.apk
2023-07-04 00:43
5.1K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-01-16 16:12
4.9K
perl-uri-tcp-2.0.0-r0.apk
2024-01-16 16:12
2.7K
perl-uri-redis-doc-0.02-r0.apk
2024-01-16 16:12
4.5K
perl-uri-redis-0.02-r0.apk
2024-01-16 16:12
3.2K
perl-uri-nested-doc-0.10-r0.apk
2024-01-12 14:22
3.9K
perl-uri-nested-0.10-r0.apk
2024-01-12 14:22
4.0K
perl-uri-fetch-doc-0.15-r0.apk
2024-01-14 16:55
7.5K
perl-uri-fetch-0.15-r0.apk
2024-01-14 16:55
7.0K
perl-uri-db-doc-0.22-r0.apk
2024-04-05 13:07
8.4K
perl-uri-db-0.22-r0.apk
2024-04-05 13:07
12K
perl-types-path-tiny-doc-0.006-r0.apk
2024-01-13 12:50
4.1K
perl-types-path-tiny-0.006-r0.apk
2024-01-13 12:50
3.9K
perl-time-timegm-doc-0.01-r8.apk
2023-07-04 00:43
3.8K
perl-time-timegm-0.01-r8.apk
2023-07-04 00:43
6.4K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-01-14 13:53
6.5K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-01-14 13:53
8.0K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-01-14 13:53
6.9K
perl-tickit-widget-menu-0.16-r0.apk
2024-01-14 13:53
7.2K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-01-14 13:53
4.1K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-01-14 13:53
4.7K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-01-14 13:53
3.8K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-01-14 13:53
4.6K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-01-14 13:53
3.4K
perl-tickit-widget-choice-0.07-r0.apk
2024-01-14 13:53
3.9K
perl-throwable-doc-1.001-r1.apk
2023-07-04 00:43
8.0K
perl-throwable-1.001-r1.apk
2023-07-04 00:43
6.2K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-02-25 18:04
5.2K
perl-text-table-sprintf-0.008-r0.apk
2024-02-25 18:04
5.3K
perl-text-table-any-doc-0.117-r0.apk
2024-02-25 18:04
6.6K
perl-text-table-any-0.117-r0.apk
2024-02-25 18:04
8.1K
perl-text-brew-doc-0.02-r5.apk
2023-07-04 00:43
4.1K
perl-text-brew-0.02-r5.apk
2023-07-04 00:43
4.5K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-03-11 07:24
4.4K
perl-test2-tools-explain-0.02-r0.apk
2024-03-11 07:24
3.8K
perl-test-utf8-doc-1.02-r2.apk
2023-07-04 00:43
4.9K
perl-test-utf8-1.02-r2.apk
2023-07-04 00:43
5.9K
perl-test-useallmodules-doc-0.17-r1.apk
2023-07-04 00:43
3.8K
perl-test-useallmodules-0.17-r1.apk
2023-07-04 00:43
3.8K
perl-test-unit-doc-0.25-r4.apk
2023-12-17 23:52
48K
perl-test-unit-0.25-r4.apk
2023-12-17 23:52
37K
perl-test-trap-doc-0.3.5-r1.apk
2023-07-04 00:43
20K
perl-test-trap-0.3.5-r1.apk
2023-07-04 00:43
20K
perl-test-toolbox-doc-0.4-r5.apk
2023-10-17 18:36
6.2K
perl-test-toolbox-0.4-r5.apk
2023-10-17 18:36
9.8K
perl-test-timer-doc-2.12-r2.apk
2024-01-04 21:58
8.4K
perl-test-timer-2.12-r2.apk
2024-01-04 21:58
8.9K
perl-test-settings-doc-0.003-r0.apk
2024-04-16 19:37
6.0K
perl-test-settings-0.003-r0.apk
2024-04-16 19:37
4.9K
perl-test-roo-doc-1.004-r3.apk
2023-07-04 00:43
15K
perl-test-roo-1.004-r3.apk
2023-07-04 00:43
12K
perl-test-requires-git-doc-1.008-r0.apk
2024-02-05 17:09
4.4K
perl-test-requires-git-1.008-r0.apk
2024-02-05 17:09
4.8K
perl-test-randomresult-doc-0.001-r0.apk
2024-04-16 13:28
3.7K
perl-test-randomresult-0.001-r0.apk
2024-04-16 13:28
3.5K
perl-test-modern-doc-0.013-r3.apk
2023-07-04 00:43
9.8K
perl-test-modern-0.013-r3.apk
2023-07-04 00:43
15K
perl-test-memorygrowth-doc-0.04-r0.apk
2024-01-24 11:10
5.3K
perl-test-memorygrowth-0.04-r0.apk
2024-01-24 11:10
5.6K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-01-14 16:55
8.3K
perl-test-lwp-useragent-0.036-r0.apk
2024-01-14 16:55
9.8K
perl-test-files-doc-0.26-r0.apk
2024-03-11 07:24
15K
perl-test-files-0.26-r0.apk
2024-03-11 07:24
6.7K
perl-test-file-doc-1.993-r1.apk
2023-07-04 00:43
6.8K
perl-test-file-1.993-r1.apk
2023-07-04 00:43
12K
perl-test-expander-doc-2.5.0-r0.apk
2024-03-11 07:24
20K
perl-test-expander-2.5.0-r0.apk
2024-03-11 07:24
7.1K
perl-test-distribution-doc-2.00-r1.apk
2023-07-04 00:43
6.1K
perl-test-distribution-2.00-r1.apk
2023-07-04 00:43
7.7K
perl-test-describeme-doc-0.004-r0.apk
2024-04-16 19:37
4.2K
perl-test-describeme-0.004-r0.apk
2024-04-16 19:37
3.6K
perl-test-class-tiny-doc-0.03-r0.apk
2024-02-05 17:12
5.4K
perl-test-class-tiny-0.03-r0.apk
2024-02-05 17:12
5.9K
perl-test-checkdeps-doc-0.010-r0.apk
2024-01-04 21:58
3.4K
perl-test-checkdeps-0.010-r0.apk
2024-01-04 21:58
3.5K
perl-test-api-doc-0.010-r2.apk
2023-07-04 00:43
4.2K
perl-test-api-0.010-r2.apk
2023-07-04 00:43
5.1K
perl-term-ui-doc-0.50-r1.apk
2023-07-04 00:43
8.5K
perl-term-ui-0.50-r1.apk
2023-07-04 00:43
10K
perl-term-size-doc-0.211-r3.apk
2023-07-04 00:43
3.8K
perl-term-size-0.211-r3.apk
2023-07-04 00:43
5.5K
perl-template-plugin-number-format-doc-1.06-r4.apk
2023-07-04 00:43
4.4K
perl-template-plugin-number-format-1.06-r4.apk
2023-07-04 00:43
4.9K
perl-template-plugin-csv-doc-0.04-r3.apk
2023-07-04 00:43
3.0K
perl-template-plugin-csv-0.04-r3.apk
2023-07-04 00:43
2.7K
perl-system-command-doc-1.122-r0.apk
2024-02-05 17:09
10K
perl-system-command-1.122-r0.apk
2024-02-05 17:09
12K
perl-sys-virt-doc-10.2.0-r0.apk
2024-04-09 14:16
99K
perl-sys-virt-10.2.0-r0.apk
2024-04-09 14:16
188K
perl-sys-syscall-doc-0.25-r9.apk
2024-01-04 21:58
3.7K
perl-sys-syscall-0.25-r9.apk
2024-01-04 21:58
5.3K
perl-syntax-keyword-match-doc-0.14-r0.apk
2024-05-01 14:52
7.8K
perl-syntax-keyword-match-0.14-r0.apk
2024-05-01 14:52
13K
perl-string-random-doc-0.32-r2.apk
2024-01-07 20:36
6.2K
perl-string-random-0.32-r2.apk
2024-01-07 20:36
7.9K
perl-string-crc32-doc-2.100-r3.apk
2023-07-04 00:43
3.4K
perl-string-crc32-2.100-r3.apk
2023-07-04 00:43
6.6K
perl-string-compare-constanttime-doc-0.321-r5.apk
2023-07-04 00:43
5.2K
perl-string-compare-constanttime-0.321-r5.apk
2023-07-04 00:43
7.1K
perl-string-camelcase-doc-0.04-r2.apk
2023-07-04 00:43
3.4K
perl-string-camelcase-0.04-r2.apk
2023-07-04 00:43
3.2K
perl-storable-improved-doc-0.1.3-r0.apk
2024-02-24 13:49
6.9K
perl-storable-improved-0.1.3-r0.apk
2024-02-24 13:49
6.7K
perl-statistics-descriptive-doc-3.0801-r0.apk
2023-07-13 15:39
37K
perl-statistics-descriptive-3.0801-r0.apk
2023-07-13 15:39
30K
perl-starman-doc-0.4017-r0.apk
2023-09-14 12:42
10K
perl-starman-0.4017-r0.apk
2023-09-14 12:42
13K
perl-sql-abstract-pg-doc-1.0-r0.apk
2024-01-12 14:22
4.5K
perl-sql-abstract-pg-1.0-r0.apk
2024-01-12 14:22
5.0K
perl-sql-abstract-doc-2.000001-r2.apk
2023-07-04 00:43
44K
perl-sql-abstract-classic-doc-1.91-r1.apk
2023-07-04 00:43
20K
perl-sql-abstract-classic-1.91-r1.apk
2023-07-04 00:43
29K
perl-sql-abstract-2.000001-r2.apk
2023-07-04 00:43
63K
perl-sort-versions-doc-1.62-r0.apk
2024-02-10 02:02
4.1K
perl-sort-versions-1.62-r0.apk
2024-02-10 02:02
3.7K
perl-sort-naturally-doc-1.03-r4.apk
2023-07-04 00:43
5.4K
perl-sort-naturally-1.03-r4.apk
2023-07-04 00:43
8.6K
perl-soap-lite-doc-1.27-r5.apk
2023-07-04 00:43
90K
perl-soap-lite-1.27-r5.apk
2023-07-04 00:43
110K
perl-snmp-info-doc-3.970001-r0.apk
2024-04-03 01:40
374K
perl-snmp-info-3.970001-r0.apk
2024-04-03 01:40
335K
perl-snmp-doc-5.0404-r12.apk
2023-07-04 00:43
14K
perl-snmp-5.0404-r12.apk
2023-07-04 00:43
64K
perl-sentinel-doc-0.07-r0.apk
2024-01-19 00:46
4.2K
perl-sentinel-0.07-r0.apk
2024-01-19 00:46
6.9K
perl-scalar-readonly-doc-0.03-r0.apk
2024-03-11 07:24
3.4K
perl-scalar-readonly-0.03-r0.apk
2024-03-11 07:24
5.1K
perl-rxperl-mojo-doc-6.8.1-r0.apk
2024-01-19 04:45
8.9K
perl-rxperl-mojo-6.8.1-r0.apk
2024-01-19 04:45
2.7K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-01-19 04:45
9.0K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-01-19 04:45
2.8K
perl-rxperl-doc-6.28.0-r0.apk
2024-01-19 01:18
23K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-01-19 04:45
8.9K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-01-19 04:45
2.7K
perl-rxperl-6.28.0-r0.apk
2024-01-19 01:18
26K
perl-role-eventemitter-doc-0.003-r0.apk
2024-01-13 12:50
3.9K
perl-role-eventemitter-0.003-r0.apk
2024-01-13 12:50
3.7K
perl-regexp-grammars-doc-1.058-r0.apk
2024-01-25 18:01
48K
perl-regexp-grammars-1.058-r0.apk
2024-01-25 18:01
66K
perl-ref-util-xs-doc-0.117-r7.apk
2023-07-04 00:43
3.4K
perl-ref-util-xs-0.117-r7.apk
2023-07-04 00:43
8.5K
perl-protocol-xmpp-doc-0.006-r0.apk
2024-01-16 20:20
51K
perl-protocol-xmpp-0.006-r0.apk
2024-01-16 20:20
17K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-01-12 14:22
3.3K
perl-protocol-redis-faster-0.003-r0.apk
2024-01-12 14:22
3.4K
perl-protocol-redis-doc-1.0011-r0.apk
2024-01-12 14:22
5.1K
perl-protocol-redis-1.0011-r0.apk
2024-01-12 14:22
5.6K
perl-promise-xs-doc-0.20-r0.apk
2024-01-19 01:18
8.7K
perl-promise-xs-0.20-r0.apk
2024-01-19 01:18
21K
perl-promise-me-doc-0.4.11-r0.apk
2024-04-27 10:33
12K
perl-promise-me-0.4.11-r0.apk
2024-04-27 10:33
25K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-01-19 01:18
2.6K
perl-promise-es6-io-async-0.28-r0.apk
2024-01-19 01:18
2.9K
perl-promise-es6-future-0.28-r0.apk
2024-01-19 01:18
2.3K
perl-promise-es6-doc-0.28-r0.apk
2024-01-19 01:18
12K
perl-promise-es6-anyevent-0.28-r0.apk
2024-01-19 01:18
2.5K
perl-promise-es6-0.28-r0.apk
2024-01-19 01:18
11K
perl-proc-guard-doc-0.07-r4.apk
2024-01-04 21:58
3.5K
perl-proc-guard-0.07-r4.apk
2024-01-04 21:58
3.7K
perl-ppi-xs-doc-0.910-r0.apk
2024-02-24 13:49
3.4K
perl-ppi-xs-0.910-r0.apk
2024-02-24 13:49
5.6K
perl-pod-tidy-doc-0.10-r1.apk
2023-07-04 00:43
11K
perl-pod-tidy-0.10-r1.apk
2023-07-04 00:43
10K
perl-pod-cpandoc-doc-0.16-r6.apk
2023-07-04 00:43
4.9K
perl-pod-cpandoc-0.16-r6.apk
2023-07-04 00:43
4.6K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2023-07-04 00:43
3.0K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2023-07-04 00:43
3.1K
perl-plack-middleware-expires-doc-0.06-r3.apk
2023-07-04 00:43
3.3K
perl-plack-middleware-expires-0.06-r3.apk
2023-07-04 00:43
3.9K
perl-perlio-locale-doc-0.10-r11.apk
2023-07-04 00:43
3.1K
perl-perlio-locale-0.10-r11.apk
2023-07-04 00:43
4.3K
perl-path-iter-doc-0.2-r3.apk
2023-07-04 00:43
5.1K
perl-path-iter-0.2-r3.apk
2023-07-04 00:43
5.2K
perl-pango-doc-1.227-r10.apk
2023-07-04 00:43
81K
perl-pango-1.227-r10.apk
2023-07-04 00:43
72K
perl-opentracing-doc-1.006-r0.apk
2024-01-16 16:12
33K
perl-opentracing-1.006-r0.apk
2024-01-16 16:12
18K
perl-openapi-client-doc-1.07-r0.apk
2024-01-14 13:52
7.3K
perl-openapi-client-1.07-r0.apk
2024-01-14 13:52
8.6K
perl-object-event-doc-1.23-r0.apk
2024-01-04 21:58
7.1K
perl-object-event-1.23-r0.apk
2024-01-04 21:58
9.5K
perl-number-tolerant-doc-1.710-r0.apk
2023-08-10 13:42
26K
perl-number-tolerant-1.710-r0.apk
2023-08-10 13:42
15K
perl-number-misc-doc-1.2-r5.apk
2023-10-17 18:36
4.4K
perl-number-misc-1.2-r5.apk
2023-10-17 18:36
5.2K
perl-number-format-doc-1.76-r1.apk
2023-07-04 00:43
9.0K
perl-number-format-1.76-r1.apk
2023-07-04 00:43
15K
perl-nice-try-doc-1.3.10-r0.apk
2024-03-26 12:44
11K
perl-nice-try-1.3.10-r0.apk
2024-03-26 12:44
25K
perl-netaddr-mac-doc-0.98-r1.apk
2023-07-04 00:43
8.0K
perl-netaddr-mac-0.98-r1.apk
2023-07-04 00:43
11K
perl-net-xmpp-doc-1.05-r0.apk
2024-01-12 14:22
44K
perl-net-xmpp-1.05-r0.apk
2024-01-12 14:22
58K
perl-net-pcap-doc-0.21-r0.apk
2024-04-16 19:37
16K
perl-net-pcap-0.21-r0.apk
2024-04-16 19:37
36K
perl-net-patricia-doc-1.22-r11.apk
2023-07-04 00:43
6.1K
perl-net-patricia-1.22-r11.apk
2023-07-04 00:43
19K
perl-net-netmask-doc-2.0002-r2.apk
2024-01-07 20:36
8.5K
perl-net-netmask-2.0002-r2.apk
2024-01-07 20:36
14K
perl-net-mqtt-trace-1.163170-r0.apk
2024-04-16 19:37
4.3K
perl-net-mqtt-simple-ssl-1.29-r0.apk
2024-04-16 19:37
3.4K
perl-net-mqtt-simple-doc-1.29-r0.apk
2024-04-16 19:37
11K
perl-net-mqtt-simple-1.29-r0.apk
2024-04-16 19:37
12K
perl-net-mqtt-doc-1.163170-r0.apk
2024-04-16 19:37
35K
perl-net-mqtt-1.163170-r0.apk
2024-04-16 19:37
13K
perl-net-libresolv-doc-0.03-r0.apk
2023-12-30 12:58
4.1K
perl-net-libresolv-0.03-r0.apk
2023-12-30 12:58
7.0K
perl-net-jabber-doc-2.0-r0.apk
2024-01-12 14:22
48K
perl-net-jabber-bot-doc-2.1.7-r0.apk
2024-01-12 14:22
7.6K
perl-net-jabber-bot-2.1.7-r0.apk
2024-01-12 14:22
13K
perl-net-jabber-2.0-r0.apk
2024-01-12 14:22
51K
perl-net-irr-doc-0.10-r0.apk
2024-03-13 10:21
5.2K
perl-net-irr-0.10-r0.apk
2024-03-13 10:21
5.5K
perl-net-idn-encode-doc-2.500-r0.apk
2024-01-14 13:52
22K
perl-net-idn-encode-2.500-r0.apk
2024-01-14 13:52
83K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-02-05 17:12
3.1K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-02-05 17:12
3.0K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-02-05 17:12
12K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-02-05 17:12
2.7K
perl-net-curl-promiser-0.20-r0.apk
2024-02-05 17:12
8.8K
perl-net-curl-doc-0.56-r0.apk
2024-04-03 18:20
39K
perl-net-curl-0.56-r0.apk
2024-04-03 18:20
55K
perl-net-async-xmpp-doc-0.003-r0.apk
2024-01-16 20:20
9.9K
perl-net-async-xmpp-0.003-r0.apk
2024-01-16 20:20
6.4K
perl-net-amqp-rabbitmq-doc-2.40010-r2.apk
2023-07-04 00:43
9.6K
perl-net-amqp-rabbitmq-2.40010-r2.apk
2023-07-04 00:43
71K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-01-16 16:12
3.5K
perl-net-address-ip-local-0.1.2-r0.apk
2024-01-16 16:12
3.4K
perl-musicbrainz-discid-doc-0.06-r0.apk
2023-08-22 19:28
4.3K
perl-musicbrainz-discid-0.06-r0.apk
2023-08-22 19:28
8.7K
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk
2024-01-14 13:52
34K
perl-mojolicious-plugin-openapi-5.09-r0.apk
2024-01-14 13:52
29K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-01-12 14:22
19K
perl-mojo-sqlite-3.009-r0.apk
2024-01-12 14:22
16K
perl-mojo-redis-doc-3.29-r0.apk
2024-01-12 14:22
25K
perl-mojo-redis-3.29-r0.apk
2024-01-12 14:22
25K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-01-12 14:22
4.4K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-01-12 14:22
4.7K
perl-mojo-pg-doc-4.27-r0.apk
2024-01-17 13:12
20K
perl-mojo-pg-4.27-r0.apk
2024-01-17 13:12
17K
perl-module-generic-doc-0.37.1-r0.apk
2024-05-05 17:53
202K
perl-module-generic-0.37.1-r0.apk
2024-05-05 17:53
252K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-01-19 01:18
3.8K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-01-19 01:18
3.4K
perl-mixin-event-dispatch-doc-2.000-r0.apk
2024-01-04 21:58
13K
perl-mixin-event-dispatch-2.000-r0.apk
2024-01-04 21:58
8.9K
perl-minion-doc-10.30-r0.apk
2024-06-05 19:55
49K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-02-10 02:02
6.8K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-02-10 02:02
10K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-02-10 02:02
6.6K
perl-minion-backend-redis-0.003-r0.apk
2024-02-10 02:02
10K
perl-minion-backend-pg-10.30-r0.apk
2024-06-05 19:55
9.6K
perl-minion-10.30-r0.apk
2024-06-05 19:55
1.5M
perl-mce-doc-1.893-r0.apk
2024-06-08 18:11
169K
perl-mce-1.893-r0.apk
2024-06-08 18:11
135K
perl-math-random-isaac-xs-doc-1.004-r7.apk
2023-07-04 00:43
3.8K
perl-math-random-isaac-xs-1.004-r7.apk
2023-07-04 00:43
7.2K
perl-math-libm-doc-1.00-r13.apk
2023-07-04 00:43
3.2K
perl-math-libm-1.00-r13.apk
2023-07-04 00:43
9.1K
perl-math-int64-doc-0.57-r0.apk
2024-01-24 11:10
10K
perl-math-int64-0.57-r0.apk
2024-01-24 11:10
28K
perl-mastodon-client-doc-0.017-r0.apk
2024-01-13 12:50
33K
perl-mastodon-client-0.017-r0.apk
2024-01-13 12:50
22K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2023-07-04 00:43
5.6K
perl-lwp-useragent-cached-0.08-r1.apk
2023-07-04 00:43
6.4K
perl-lwp-online-doc-1.08-r0.apk
2024-01-12 14:22
5.7K
perl-lwp-online-1.08-r0.apk
2024-01-12 14:22
6.1K
perl-lv-doc-0.006-r0.apk
2024-01-19 00:46
4.0K
perl-lv-backend-sentinel-0.006-r0.apk
2024-01-19 00:46
2.0K
perl-lv-backend-magic-0.006-r0.apk
2024-01-19 00:46
2.1K
perl-lv-0.006-r0.apk
2024-01-19 00:46
4.1K
perl-log-message-simple-doc-0.10-r3.apk
2023-07-04 00:43
3.9K
perl-log-message-simple-0.10-r3.apk
2023-07-04 00:43
4.2K
perl-log-message-doc-0.08-r3.apk
2023-07-04 00:43
12K
perl-log-message-0.08-r3.apk
2023-07-04 00:43
11K
perl-log-fu-doc-0.31-r4.apk
2023-07-04 00:43
7.2K
perl-log-fu-0.31-r4.apk
2023-07-04 00:43
10K
perl-list-binarysearch-xs-doc-0.09-r0.apk
2024-01-16 16:12
8.1K
perl-list-binarysearch-xs-0.09-r0.apk
2024-01-16 16:12
11K
perl-list-binarysearch-doc-0.25-r0.apk
2024-01-17 13:11
12K
perl-list-binarysearch-0.25-r0.apk
2024-01-17 13:11
9.9K
perl-linux-pid-doc-0.04-r12.apk
2023-07-04 00:43
3.0K
perl-linux-pid-0.04-r12.apk
2023-07-04 00:43
4.5K
perl-libintl-perl-doc-1.33-r1.apk
2023-07-04 00:43
567K
perl-libintl-perl-1.33-r1.apk
2023-07-04 00:43
316K
perl-libapreq2-doc-2.17-r1.apk
2023-07-04 00:43
37K
perl-libapreq2-dev-2.17-r1.apk
2023-07-04 00:43
52K
perl-libapreq2-2.17-r1.apk
2023-07-04 00:43
88K
perl-json-validator-doc-5.14-r0.apk
2024-01-14 13:52
33K
perl-json-validator-5.14-r0.apk
2024-01-14 13:52
59K
perl-json-path-doc-1.0.6-r0.apk
2024-04-27 10:33
13K
perl-json-path-1.0.6-r0.apk
2024-04-27 10:33
16K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-01-16 16:12
3.5K
perl-json-maybeutf8-2.000-r0.apk
2024-01-16 16:12
3.1K
perl-io-sessiondata-1.03-r3.apk
2023-07-04 00:43
5.7K
perl-io-lambda-doc-1.33-r0.apk
2024-04-16 13:28
68K
perl-io-lambda-1.33-r0.apk
2024-04-16 13:28
75K
perl-io-async-resolver-dns-doc-0.06-r0.apk
2023-12-30 12:58
5.0K
perl-io-async-resolver-dns-0.06-r0.apk
2023-12-30 12:58
7.0K
perl-indirect-doc-0.39-r0.apk
2024-01-16 16:12
6.3K
perl-indirect-0.39-r0.apk
2024-01-16 16:12
14K
perl-i18n-langinfo-wide-doc-9-r4.apk
2023-07-04 00:43
4.1K
perl-i18n-langinfo-wide-9-r4.apk
2023-07-04 00:43
4.2K
perl-http-xsheaders-doc-0.400005-r0.apk
2024-02-24 13:49
6.3K
perl-http-xsheaders-0.400005-r0.apk
2024-02-24 13:49
17K
perl-http-thin-doc-0.006-r0.apk
2024-01-13 12:50
3.4K
perl-http-thin-0.006-r0.apk
2024-01-13 12:50
3.1K
perl-html-tableextract-doc-2.15-r4.apk
2023-07-04 00:43
9.9K
perl-html-tableextract-2.15-r4.apk
2023-07-04 00:43
18K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-02-24 13:49
4.0K
perl-html-selector-xpath-0.28-r0.apk
2024-02-24 13:49
6.2K
perl-html-object-doc-0.5.0-r0.apk
2024-05-04 17:37
470K
perl-html-object-0.5.0-r0.apk
2024-05-04 17:37
348K
perl-hash-ordered-doc-0.014-r0.apk
2024-01-19 01:18
19K
perl-hash-ordered-0.014-r0.apk
2024-01-19 01:18
9.8K
perl-hash-merge-doc-0.302-r2.apk
2023-07-04 00:43
5.6K
perl-hash-merge-0.302-r2.apk
2023-07-04 00:43
6.8K
perl-guard-doc-1.023-r8.apk
2023-07-04 00:43
5.3K
perl-guard-1.023-r8.apk
2023-07-04 00:43
8.0K
perl-gtk3-doc-0.038-r1.apk
2023-07-04 00:43
9.1K
perl-gtk3-0.038-r1.apk
2023-07-04 00:43
20K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2023-07-04 00:43
81K
perl-gtk2-ex-widgetbits-48-r3.apk
2023-07-04 00:43
66K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2023-07-04 00:43
7.3K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2023-07-04 00:43
13K
perl-gtk2-doc-1.24993-r5.apk
2023-07-04 00:43
666K
perl-gtk2-1.24993-r5.apk
2023-07-04 00:43
787K
perl-graphql-client-doc-0.605-r0.apk
2024-01-19 00:46
14K
perl-graphql-client-cli-0.605-r0.apk
2024-01-19 00:46
7.8K
perl-graphql-client-0.605-r0.apk
2024-01-19 00:46
7.1K
perl-glib-object-introspection-doc-0.051-r0.apk
2023-08-29 13:36
11K
perl-glib-object-introspection-0.051-r0.apk
2023-08-29 13:36
54K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-05-20 09:17
22K
perl-glib-ex-objectbits-17-r0.apk
2024-05-20 09:17
15K
perl-git-version-compare-doc-1.005-r0.apk
2024-02-05 17:09
4.8K
perl-git-version-compare-1.005-r0.apk
2024-02-05 17:09
5.4K
perl-git-repository-doc-1.325-r0.apk
2024-02-05 17:09
31K
perl-git-repository-1.325-r0.apk
2024-02-05 17:09
16K
perl-git-raw-doc-0.90-r0.apk
2024-02-05 12:43
119K
perl-git-raw-0.90-r0.apk
2024-02-05 12:43
157K
perl-getopt-tabular-doc-0.3-r4.apk
2023-07-04 00:43
17K
perl-getopt-tabular-0.3-r4.apk
2023-07-04 00:43
23K
perl-getopt-long-descriptive-doc-0.114-r0.apk
2024-01-27 22:42
11K
perl-getopt-long-descriptive-0.114-r0.apk
2024-01-27 22:42
15K
perl-gearman-doc-2.004.015-r3.apk
2024-01-04 21:58
20K
perl-gearman-2.004.015-r3.apk
2024-01-04 21:58
27K
perl-future-queue-doc-0.52-r0.apk
2024-01-16 16:12
4.3K
perl-future-queue-0.52-r0.apk
2024-01-16 16:12
4.1K
perl-future-q-doc-0.120-r0.apk
2024-01-19 01:18
9.1K
perl-future-q-0.120-r0.apk
2024-01-19 01:18
9.6K
perl-future-http-doc-0.17-r0.apk
2024-04-13 19:04
16K
perl-future-http-0.17-r0.apk
2024-04-13 19:04
9.2K
perl-freezethaw-doc-0.5001-r2.apk
2023-07-04 00:43
5.6K
perl-freezethaw-0.5001-r2.apk
2023-07-04 00:43
9.8K
perl-flowd-doc-0.9.1-r9.apk
2023-07-04 00:43
3.2K
perl-flowd-0.9.1-r9.apk
2023-07-04 00:43
21K
perl-file-rename-doc-2.02-r0.apk
2024-01-01 13:22
12K
perl-file-rename-2.02-r0.apk
2024-01-01 13:22
7.5K
perl-file-mmagic-xs-doc-0.09008-r3.apk
2023-07-04 00:43
4.2K
perl-file-mmagic-xs-0.09008-r3.apk
2023-07-04 00:43
27K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-02-03 10:53
5.1K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-02-03 10:53
5.2K
perl-ffi-platypus-doc-2.08-r0.apk
2024-02-03 10:53
147K
perl-ffi-platypus-2.08-r0.apk
2024-02-03 10:53
174K
perl-feed-find-doc-0.13-r0.apk
2024-01-14 16:55
3.7K
perl-feed-find-0.13-r0.apk
2024-01-14 16:55
3.8K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2023-07-04 00:43
21K
perl-extutils-xsbuilder-0.28-r5.apk
2023-07-04 00:43
43K
perl-extutils-makemaker-7.70-r2.apk
2024-02-21 08:50
175K
perl-ev-hiredis-doc-0.07-r0.apk
2024-01-17 13:16
4.2K
perl-ev-hiredis-0.07-r0.apk
2024-01-17 13:16
12K
perl-email-reply-doc-1.204-r5.apk
2023-07-04 00:43
4.8K
perl-email-reply-1.204-r5.apk
2023-07-04 00:43
6.1K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2023-07-04 00:43
3.8K
perl-email-mime-attachment-stripper-1.317-r5.apk
2023-07-04 00:43
4.0K
perl-email-abstract-doc-3.010-r0.apk
2023-09-03 13:02
13K
perl-email-abstract-3.010-r0.apk
2023-09-03 13:02
7.6K
perl-dns-unbound-mojo-0.29-r0.apk
2024-04-16 19:37
2.7K
perl-dns-unbound-ioasync-0.29-r0.apk
2024-04-16 19:37
2.4K
perl-dns-unbound-doc-0.29-r0.apk
2024-04-16 19:37
15K
perl-dns-unbound-asyncquery-promisexs-0.29-r0.apk
2024-04-16 19:37
2.0K
perl-dns-unbound-anyevent-0.29-r0.apk
2024-04-16 19:37
2.4K
perl-dns-unbound-0.29-r0.apk
2024-04-16 19:37
22K
perl-digest-crc-doc-0.24-r0.apk
2024-01-16 16:12
3.2K
perl-digest-crc-0.24-r0.apk
2024-01-16 16:12
8.7K
perl-digest-bcrypt-doc-1.212-r1.apk
2023-07-04 00:43
5.2K
perl-digest-bcrypt-1.212-r1.apk
2023-07-04 00:43
5.6K
perl-devel-refcount-doc-0.10-r0.apk
2024-01-17 13:16
4.2K
perl-devel-refcount-0.10-r0.apk
2024-01-17 13:16
5.9K
perl-devel-leak-doc-0.03-r12.apk
2023-07-04 00:43
3.3K
perl-devel-leak-0.03-r12.apk
2023-07-04 00:43
6.1K
perl-devel-confess-doc-0.009004-r0.apk
2024-02-24 13:49
6.6K
perl-devel-confess-0.009004-r0.apk
2024-02-24 13:49
11K
perl-dbix-introspector-doc-0.001005-r4.apk
2023-07-04 00:43
8.4K
perl-dbix-introspector-0.001005-r4.apk
2023-07-04 00:43
8.0K
perl-dbix-datasource-doc-0.02-r5.apk
2023-07-04 00:43
7.5K
perl-dbix-datasource-0.02-r5.apk
2023-07-04 00:43
4.3K
perl-dbix-class-doc-0.082843-r1.apk
2023-07-04 00:43
345K
perl-dbix-class-0.082843-r1.apk
2023-07-04 00:43
430K
perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk
2024-02-24 13:49
15K
perl-datetime-timezone-catalog-extend-0.3.1-r0.apk
2024-02-24 13:49
12K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-02-24 13:49
7.6K
perl-datetime-timezone-alias-0.06-r0.apk
2024-02-24 13:49
2.5K
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk
2024-01-22 12:51
4.2K
perl-datetime-format-rfc3339-1.8.0-r0.apk
2024-01-22 12:51
4.4K
perl-datetime-format-flexible-doc-0.34-r0.apk
2024-01-14 16:55
12K
perl-datetime-format-flexible-0.34-r0.apk
2024-01-14 16:55
18K
perl-datetime-format-atom-doc-1.6.0-r0.apk
2024-01-22 12:51
3.8K
perl-datetime-format-atom-1.6.0-r0.apk
2024-01-22 12:51
3.2K
perl-data-validate-ip-doc-0.31-r1.apk
2023-07-04 00:43
5.8K
perl-data-validate-ip-0.31-r1.apk
2023-07-04 00:43
8.8K
perl-data-validate-domain-doc-0.15-r0.apk
2024-01-14 13:52
5.5K
perl-data-validate-domain-0.15-r0.apk
2024-01-14 13:52
5.8K
perl-data-dumper-concise-doc-2.023-r4.apk
2023-07-04 00:43
8.4K
perl-data-dumper-concise-2.023-r4.apk
2023-07-04 00:43
5.8K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2023-07-04 00:43
8.4K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2023-07-04 00:43
9.7K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2023-07-04 00:43
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2023-07-04 00:43
15K
perl-daemon-control-doc-0.001010-r2.apk
2023-07-04 00:43
8.2K
perl-daemon-control-0.001010-r2.apk
2023-07-04 00:43
12K
perl-curry-doc-2.000001-r0.apk
2024-01-16 16:12
3.4K
perl-curry-2.000001-r0.apk
2024-01-16 16:12
2.9K
perl-css-object-doc-0.1.6-r0.apk
2024-02-24 13:49
33K
perl-css-object-0.1.6-r0.apk
2024-02-24 13:49
23K
perl-crypt-saltedhash-doc-0.09-r5.apk
2023-07-04 00:43
6.3K
perl-crypt-saltedhash-0.09-r5.apk
2023-07-04 00:43
6.9K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-01-16 16:12
8.8K
perl-crypt-random-seed-0.03-r0.apk
2024-01-16 16:12
11K
perl-cpan-changes-doc-0.500003-r0.apk
2024-03-13 10:21
18K
perl-cpan-changes-0.500003-r0.apk
2024-03-13 10:21
14K
perl-context-preserve-doc-0.03-r4.apk
2023-07-04 00:43
4.2K
perl-context-preserve-0.03-r4.apk
2023-07-04 00:43
3.8K
perl-constant-generate-doc-0.17-r5.apk
2023-07-04 00:43
7.0K
perl-constant-generate-0.17-r5.apk
2023-07-04 00:43
8.7K
perl-constant-defer-doc-6-r5.apk
2023-07-04 00:43
6.9K
perl-constant-defer-6-r5.apk
2023-07-04 00:43
7.4K
perl-conf-libconfig-doc-1.0.0-r1.apk
2023-07-04 00:43
5.4K
perl-conf-libconfig-1.0.0-r1.apk
2023-07-04 00:43
21K
perl-color-rgb-util-doc-0.607-r0.apk
2024-04-16 13:28
7.4K
perl-color-rgb-util-0.607-r0.apk
2024-04-16 13:28
9.4K
perl-color-ansi-util-doc-0.165-r0.apk
2024-04-16 13:28
5.2K
perl-color-ansi-util-0.165-r0.apk
2024-04-16 13:28
7.3K
perl-clone-pp-doc-1.08-r1.apk
2023-07-04 00:43
4.1K
perl-clone-pp-1.08-r1.apk
2023-07-04 00:43
4.5K
perl-clone-choose-doc-0.010-r4.apk
2023-07-04 00:43
4.2K
perl-clone-choose-0.010-r4.apk
2023-07-04 00:43
4.6K
perl-class-inner-doc-0.200001-r5.apk
2023-12-17 23:52
4.1K
perl-class-inner-0.200001-r5.apk
2023-12-17 23:52
3.9K
perl-class-c3-doc-0.35-r1.apk
2023-07-04 00:43
9.3K
perl-class-c3-componentised-doc-1.001002-r2.apk
2023-07-04 00:43
5.3K
perl-class-c3-componentised-1.001002-r2.apk
2023-07-04 00:43
5.5K
perl-class-c3-0.35-r1.apk
2023-07-04 00:43
9.4K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2023-07-04 00:43
7.5K
perl-class-accessor-grouped-0.10014-r2.apk
2023-07-04 00:43
12K
perl-check-unitcheck-doc-0.13-r0.apk
2024-01-17 13:11
3.6K
perl-check-unitcheck-0.13-r0.apk
2024-01-17 13:11
5.6K
perl-cgi-expand-doc-2.05-r4.apk
2023-07-04 00:43
6.1K
perl-cgi-expand-2.05-r4.apk
2023-07-04 00:43
6.8K
perl-cairo-gobject-doc-1.005-r3.apk
2023-07-04 00:43
2.9K
perl-cairo-gobject-1.005-r3.apk
2023-07-04 00:43
6.0K
perl-cairo-doc-1.109-r3.apk
2023-07-04 00:43
14K
perl-cairo-1.109-r3.apk
2023-07-04 00:43
66K
perl-cache-lru-doc-0.04-r0.apk
2024-01-16 16:12
3.2K
perl-cache-lru-0.04-r0.apk
2024-01-16 16:12
2.9K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-01-16 16:12
12K
perl-bytes-random-secure-0.29-r0.apk
2024-01-16 16:12
14K
perl-bsd-resource-doc-1.2911-r9.apk
2023-12-17 23:52
7.9K
perl-bsd-resource-1.2911-r9.apk
2023-12-17 23:52
19K
perl-bind-config-parser-doc-0.01-r5.apk
2023-07-04 00:43
3.6K
perl-bind-config-parser-0.01-r5.apk
2023-07-04 00:43
3.9K
perl-barcode-zbar-doc-0.10-r2.apk
2023-07-04 00:43
13K
perl-barcode-zbar-0.10-r2.apk
2023-07-04 00:43
28K
perl-autobox-doc-3.0.1-r8.apk
2023-07-04 00:43
8.9K
perl-autobox-3.0.1-r8.apk
2023-07-04 00:43
18K
perl-archive-extract-doc-0.88-r1.apk
2023-07-04 00:43
6.8K
perl-archive-extract-0.88-r1.apk
2023-07-04 00:43
16K
perl-anyevent-xmpp-doc-0.55-r0.apk
2024-01-06 23:15
118K
perl-anyevent-xmpp-0.55-r0.apk
2024-01-06 23:15
89K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-01-15 15:28
10K
perl-anyevent-riperedis-0.48-r0.apk
2024-01-15 15:28
12K
perl-anyevent-mqtt-monitor-1.212810-r0.apk
2024-04-16 19:37
4.5K
perl-anyevent-mqtt-doc-1.212810-r0.apk
2024-04-16 19:37
11K
perl-anyevent-mqtt-1.212810-r0.apk
2024-04-16 19:37
11K
perl-anyevent-mocktcpserver-doc-1.172150-r0.apk
2024-04-16 19:37
4.6K
perl-anyevent-mocktcpserver-1.172150-r0.apk
2024-04-16 19:37
4.9K
perl-anyevent-future-doc-0.05-r0.apk
2023-11-15 17:02
5.4K
perl-anyevent-future-0.05-r0.apk
2023-11-15 17:02
5.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2023-11-02 13:01
4.0K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2023-11-02 13:01
5.1K
perl-aliased-doc-0.34-r4.apk
2023-07-04 00:43
5.6K
perl-aliased-0.34-r4.apk
2023-07-04 00:43
5.6K
perl-algorithm-cron-doc-0.10-r4.apk
2023-07-04 00:43
4.6K
perl-algorithm-cron-0.10-r4.apk
2023-07-04 00:43
6.1K
perl-algorithm-c3-doc-0.11-r1.apk
2023-07-04 00:43
5.1K
perl-algorithm-c3-0.11-r1.apk
2023-07-04 00:43
5.6K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-04-16 13:28
29K
perl-algorithm-backoff-0.010-r0.apk
2024-04-16 13:28
9.6K
perl-adapter-async-doc-0.019-r0.apk
2024-01-17 13:11
17K
perl-adapter-async-0.019-r0.apk
2024-01-17 13:11
8.1K
percona-toolkit-doc-3.5.4-r0.apk
2023-08-13 18:27
297K
percona-toolkit-3.5.4-r0.apk
2023-08-13 18:27
1.8M
pegtl-doc-3.2.7-r0.apk
2023-09-22 03:16
2.2K
pegtl-3.2.7-r0.apk
2023-09-22 03:16
84K
pegasus-frontend-doc-13_alpha-r2.apk
2023-05-05 06:18
16K
pegasus-frontend-13_alpha-r2.apk
2023-05-05 06:18
1.2M
peg-doc-0.1.18-r1.apk
2022-10-25 01:23
14K
peg-0.1.18-r1.apk
2022-10-25 01:23
32K
peervpn-openrc-0.044-r5.apk
2022-08-04 08:28
1.8K
peervpn-0.044-r5.apk
2022-08-04 08:28
36K
pebble-le-doc-0.3.0-r1.apk
2024-04-22 19:49
3.7K
pebble-le-dev-0.3.0-r1.apk
2024-04-22 19:49
42K
pebble-le-0.3.0-r1.apk
2024-04-22 19:49
59K
pdm-pyc-2.12.4-r1.apk
2024-04-16 02:00
444K
pdm-2.12.4-r1.apk
2024-04-16 02:00
210K
pdfcrack-0.20-r0.apk
2022-12-17 23:22
22K
pdfcpu-0.7.0-r2.apk
2024-05-19 02:22
4.1M
pdf2svg-0.2.3-r1.apk
2022-10-25 01:23
4.4K
pdal-python-plugins-1.2.1-r3.apk
2024-04-16 02:00
193K
pcsc-tools-doc-1.7.1-r0.apk
2023-12-31 21:26
6.0K
pcsc-tools-1.7.1-r0.apk
2023-12-31 21:26
182K
pcsc-perl-doc-1.4.16-r0.apk
2023-12-03 22:19
9.9K
pcsc-perl-1.4.16-r0.apk
2023-12-03 22:19
24K
pcl-libs-1.14.0-r1.apk
2024-04-22 19:49
16M
pcl-dev-1.14.0-r1.apk
2024-04-22 19:49
1.5M
pcl-1.14.0-r1.apk
2024-04-22 19:49
2.5M
pastel-zsh-completion-0.9.0-r2.apk
2023-07-02 19:37
4.8K
pastel-fish-completion-0.9.0-r2.apk
2023-07-02 19:37
3.2K
pastel-bash-completion-0.9.0-r2.apk
2023-07-02 19:37
3.0K
pastel-0.9.0-r2.apk
2023-07-02 19:37
329K
pastebinc-0.9.1-r2.apk
2022-10-25 01:23
11K
pass2csv-pyc-1.1.1-r1.apk
2024-04-16 02:00
7.5K
pass2csv-1.1.1-r1.apk
2024-04-16 02:00
8.4K
pash-2.3.0-r2.apk
2022-10-25 01:23
4.3K
parcellite-lang-1.2.4.0-r0.apk
2024-02-24 14:44
49K
parcellite-doc-1.2.4.0-r0.apk
2024-02-24 14:44
25K
parcellite-1.2.4.0-r0.apk
2024-02-24 14:44
221K
par2cmdline-turbo-doc-1.1.1-r0.apk
2023-12-01 01:56
5.4K
par2cmdline-turbo-1.1.1-r0.apk
2023-12-01 01:56
182K
par-doc-1.53.0-r1.apk
2022-10-25 01:23
30K
par-1.53.0-r1.apk
2022-10-25 01:23
13K
paprefs-lang-1.2-r1.apk
2023-08-01 06:56
39K
paprefs-1.2-r1.apk
2023-08-01 06:56
28K
paperkey-doc-1.6-r2.apk
2023-12-19 15:36
4.5K
paperkey-1.6-r2.apk
2023-12-19 15:36
15K
paperde-dev-0.2.1-r1.apk
2023-04-24 03:29
5.2K
paperde-0.2.1-r1.apk
2023-04-24 03:29
595K
pantalaimon-ui-0.10.5-r4.apk
2024-04-16 02:00
1.7K
pantalaimon-pyc-0.10.5-r4.apk
2024-04-16 02:00
83K
pantalaimon-doc-0.10.5-r4.apk
2024-04-16 02:00
6.4K
pantalaimon-0.10.5-r4.apk
2024-04-16 02:00
45K
pamtester-doc-0.1.2-r3.apk
2022-10-14 16:53
2.9K
pamtester-0.1.2-r3.apk
2022-10-14 16:53
7.4K
pam_sqlite3-1.0.2-r1.apk
2023-05-25 08:20
7.7K
pam_mount-doc-2.20-r0.apk
2023-12-23 03:34
17K
pam_mount-dev-2.20-r0.apk
2023-12-23 03:34
3.4K
pam_mount-2.20-r0.apk
2023-12-23 03:34
46K
pam-krb5-doc-4.11-r0.apk
2022-05-16 16:17
23K
pam-krb5-4.11-r0.apk
2022-05-16 16:17
19K
palp-2.20-r1.apk
2023-08-01 16:54
3.9M
pacparser-doc-1.4.3-r1.apk
2024-04-16 02:00
18K
pacparser-dev-1.4.3-r1.apk
2024-04-16 02:00
3.6K
pacparser-1.4.3-r1.apk
2024-04-16 02:00
680K
p910nd-openrc-0.97-r2.apk
2022-06-04 14:38
1.8K
p910nd-doc-0.97-r2.apk
2022-06-04 14:38
3.0K
p910nd-0.97-r2.apk
2022-06-04 14:38
6.7K
p0f-doc-3.09b-r2.apk
2022-10-25 01:23
25K
p0f-3.09b-r2.apk
2022-10-25 01:23
85K
ovpncc-doc-0.1_rc1-r0.apk
2023-03-15 18:02
6.5K
ovpncc-0.1_rc1-r0.apk
2023-03-15 18:02
12K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-04-16 02:00
4.0K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-04-16 02:00
46K
ovos-phal-pyc-0.0.5_alpha6-r1.apk
2024-04-16 02:00
6.9K
ovos-phal-0.0.5_alpha6-r1.apk
2024-04-16 02:00
10K
ovos-messagebus-pyc-0.0.3-r1.apk
2024-04-16 02:00
6.1K
ovos-messagebus-0.0.3-r1.apk
2024-04-16 02:00
9.6K
ovos-gui-pyc-0.0.3_alpha5-r1.apk
2024-04-16 02:00
32K
ovos-gui-0.0.3_alpha5-r1.apk
2024-04-16 02:00
40K
ovos-core-pyc-0.0.8_alpha105-r0.apk
2024-05-31 08:14
254K
ovos-core-0.0.8_alpha105-r0.apk
2024-05-31 08:14
17M
ovos-audio-pyc-0.0.2_alpha42-r1.apk
2024-06-02 22:26
33K
ovos-audio-0.0.2_alpha42-r1.apk
2024-06-02 22:26
134K
ovn-openrc-24.03.1-r0.apk
2024-04-12 08:15
4.2K
ovn-doc-24.03.1-r0.apk
2024-04-12 08:15
512K
ovn-dev-24.03.1-r0.apk
2024-04-12 08:15
9.8M
ovn-dbg-24.03.1-r0.apk
2024-04-12 08:15
26M
ovn-24.03.1-r0.apk
2024-04-12 08:15
5.9M
ouch-zsh-completion-0.5.1-r0.apk
2023-12-24 17:37
2.8K
ouch-fish-completion-0.5.1-r0.apk
2023-12-24 17:37
2.3K
ouch-doc-0.5.1-r0.apk
2023-12-24 17:37
3.6K
ouch-bash-completion-0.5.1-r0.apk
2023-12-24 17:37
2.3K
ouch-0.5.1-r0.apk
2023-12-24 17:37
1.0M
otrs-setup-6.0.48-r1.apk
2024-01-15 10:36
107K
otrs-openrc-6.0.48-r1.apk
2024-01-15 10:36
1.9K
otrs-nginx-6.0.48-r1.apk
2024-01-15 10:36
1.8K
otrs-fastcgi-6.0.48-r1.apk
2024-01-15 10:36
1.8K
otrs-doc-6.0.48-r1.apk
2024-01-15 10:36
795K
otrs-dev-6.0.48-r1.apk
2024-01-15 10:36
3.9M
otrs-bash-completion-6.0.48-r1.apk
2024-01-15 10:36
2.4K
otrs-apache2-6.0.48-r1.apk
2024-01-15 10:36
4.2K
otrs-6.0.48-r1.apk
2024-01-15 10:36
29M
otpclient-doc-3.2.1-r1.apk
2023-11-15 16:24
3.5K
otpclient-3.2.1-r1.apk
2023-11-15 16:24
97K
otf-atkinson-hyperlegible-doc-2020.0514-r0.apk
2020-12-03 20:22
47K
otf-atkinson-hyperlegible-2020.0514-r0.apk
2020-12-03 20:22
101K
osmctools-0.9-r0.apk
2023-03-31 23:24
123K
oras-cli-zsh-completion-1.1.0-r3.apk
2024-05-19 02:22
4.0K
oras-cli-fish-completion-1.1.0-r3.apk
2024-05-19 02:22
4.3K
oras-cli-bash-completion-1.1.0-r3.apk
2024-05-19 02:22
5.0K
oras-cli-1.1.0-r3.apk
2024-05-19 02:22
3.3M
orage-lang-4.18.0-r0.apk
2023-02-23 23:55
1.2M
orage-4.18.0-r0.apk
2023-02-23 23:55
560K
optee-client-libs-3.20.0-r0.apk
2023-03-01 18:44
30K
optee-client-dev-3.20.0-r0.apk
2023-03-01 18:44
29K
optee-client-3.20.0-r0.apk
2023-03-01 18:44
18K
opmsg-1.84-r1.apk
2022-08-04 08:28
243K
opkg-utils-doc-0.4.5-r1.apk
2022-10-25 01:23
4.6K
opkg-utils-0.4.5-r1.apk
2022-10-25 01:23
25K
opkg-libs-0.6.2-r0.apk
2023-11-27 22:03
69K
opkg-doc-0.6.2-r0.apk
2023-11-27 22:03
7.6K
opkg-dev-0.6.2-r0.apk
2023-11-27 22:03
99K
opkg-0.6.2-r0.apk
2023-11-27 22:03
9.5K
openwsman-libs-2.7.2-r4.apk
2024-04-16 02:00
293K
openwsman-doc-2.7.2-r4.apk
2024-04-16 02:00
2.4K
openwsman-dev-2.7.2-r4.apk
2024-04-16 02:00
58K
openwsman-2.7.2-r4.apk
2024-04-16 02:00
46K
openvpn3-dev-3.8.5-r0.apk
2024-05-20 09:07
686K
openvpn3-3.8.5-r0.apk
2024-05-20 09:07
355K
openttd-opensfx-1.0.3-r0.apk
2021-11-03 23:15
11M
openttd-openmsx-0.4.2-r0.apk
2021-10-17 15:35
129K
openttd-opengfx-7.1-r0.apk
2021-09-25 22:16
3.3M
openttd-lang-13.4-r1.apk
2023-11-09 20:08
3.3M
openttd-doc-13.4-r1.apk
2023-11-09 20:07
222K
openttd-13.4-r1.apk
2023-11-09 20:07
4.2M
opentelemetry-cpp-exporter-zipkin-1.11.0-r3.apk
2024-05-25 07:22
45K
opentelemetry-cpp-exporter-otlp-http-1.11.0-r3.apk
2024-05-25 07:22
60K
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r3.apk
2024-05-25 07:22
32K
opentelemetry-cpp-exporter-otlp-common-1.11.0-r3.apk
2024-05-25 07:22
30K
opentelemetry-cpp-dev-1.11.0-r3.apk
2024-05-25 07:22
335K
opentelemetry-cpp-1.11.0-r3.apk
2024-05-25 07:22
495K
openswitcher-proxy-openrc-0.5.0-r3.apk
2024-04-16 02:00
2.1K
openswitcher-proxy-0.5.0-r3.apk
2024-04-16 02:00
9.4K
openswitcher-0.5.0-r3.apk
2024-04-16 02:00
148K
openssl1.1-compat-libs-static-1.1.1w-r0.apk
2023-09-12 13:01
5.9M
openssl1.1-compat-dev-1.1.1w-r0.apk
2023-09-12 13:01
261K
openssl1.1-compat-dbg-1.1.1w-r0.apk
2023-09-12 13:01
3.6M
openssl1.1-compat-1.1.1w-r0.apk
2023-09-12 13:01
228K
opensmtpd-filter-dkimsign-doc-0.6-r1.apk
2022-08-04 21:41
3.6K
opensmtpd-filter-dkimsign-0.6-r1.apk
2022-08-04 21:41
12K
openslide-tools-3.4.1-r3.apk
2023-08-01 16:54
9.9K
openslide-doc-3.4.1-r3.apk
2023-08-01 16:54
4.9K
openslide-dev-3.4.1-r3.apk
2023-08-01 16:54
6.9K
openslide-3.4.1-r3.apk
2023-08-01 16:54
77K
openscap-daemon-pyc-0.1.10-r9.apk
2024-04-16 02:00
102K
openscap-daemon-doc-0.1.10-r9.apk
2024-04-16 02:00
18K
openscap-daemon-0.1.10-r9.apk
2024-04-16 02:00
60K
openra-20231010-r0.apk
2023-10-13 17:31
23M
openocd-riscv-udev-rules-0_git20230104-r1.apk
2023-05-03 11:16
3.3K
openocd-riscv-doc-0_git20230104-r1.apk
2023-05-03 11:16
3.3K
openocd-riscv-dev-0_git20230104-r1.apk
2023-05-03 11:16
3.8K
openocd-riscv-0_git20230104-r1.apk
2023-05-03 11:16
1.5M
openocd-git-udev-rules-0_git20240113-r0.apk
2024-01-17 09:38
3.3K
openocd-git-doc-0_git20240113-r0.apk
2024-01-17 09:38
3.3K
openocd-git-dev-0_git20240113-r0.apk
2024-01-17 09:38
3.4K
openocd-git-dbg-0_git20240113-r0.apk
2024-01-17 09:38
4.1M
openocd-git-cmd-openocd-0_git20240113-r0.apk
2024-01-17 09:38
1.5K
openocd-git-0_git20240113-r0.apk
2024-01-17 09:38
1.6M
openocd-esp32-udev-rules-0_git20230921-r4.apk
2023-12-06 06:58
3.3K
openocd-esp32-doc-0_git20230921-r4.apk
2023-12-06 06:58
3.3K
openocd-esp32-dev-0_git20230921-r4.apk
2023-12-06 06:58
3.4K
openocd-esp32-0_git20230921-r4.apk
2023-12-06 06:58
1.7M
openmg-0.0.9-r0.apk
2023-04-29 19:30
100K
openfpgaloader-0.11.0-r0.apk
2023-10-21 10:35
1.9M
openfortivpn-doc-1.21.0-r0.apk
2024-02-09 02:21
6.3K
openfortivpn-1.21.0-r0.apk
2024-02-09 02:21
39K
opendht-libs-3.1.7-r2.apk
2024-04-16 02:00
513K
opendht-doc-3.1.7-r2.apk
2024-04-16 02:00
3.1K
opendht-dev-3.1.7-r2.apk
2024-04-16 02:00
72K
opendht-3.1.7-r2.apk
2024-04-16 02:00
162K
opcr-policy-0.2.13-r1.apk
2024-05-19 02:22
7.8M
onnxruntime-dev-1.18.0-r0.apk
2024-06-06 17:25
88K
onnxruntime-1.18.0-r0.apk
2024-06-06 17:25
4.2M
ombi-openrc-4.43.5-r1.apk
2023-11-18 20:38
2.0K
ombi-4.43.5-r1.apk
2023-11-18 20:38
18M
olsrd-plugins-0.9.8-r2.apk
2022-10-25 01:23
182K
olsrd-openrc-0.9.8-r2.apk
2022-10-25 01:23
1.9K
olsrd-doc-0.9.8-r2.apk
2022-10-25 01:23
25K
olsrd-0.9.8-r2.apk
2022-10-25 01:23
156K
olab-0.1.8-r0.apk
2024-04-22 08:14
3.4M
ol-doc-2.4-r0.apk
2023-03-28 09:46
2.6K
ol-2.4-r0.apk
2023-03-28 09:46
1.0M
oil-doc-0.21.0-r0.apk
2024-03-16 00:09
7.0K
oil-0.21.0-r0.apk
2024-03-16 00:09
1.4M
oh-my-zsh-doc-0_git20220104-r1.apk
2023-02-10 01:05
7.2K
oh-my-zsh-0_git20220104-r1.apk
2023-02-10 01:05
1.0M
octoprint-pyc-1.10.1-r0.apk
2024-05-17 03:07
1.2M
octoprint-pisupport-pyc-2023.10.10-r0.apk
2024-04-16 02:38
13K
octoprint-pisupport-2023.10.10-r0.apk
2024-04-16 02:38
31K
octoprint-openrc-1.10.1-r0.apk
2024-05-17 03:07
1.8K
octoprint-firmwarecheck-pyc-2021.10.11-r1.apk
2024-04-16 02:38
18K
octoprint-firmwarecheck-2021.10.11-r1.apk
2024-04-16 02:38
29K
octoprint-filecheck-pyc-2024.3.27-r0.apk
2024-04-16 02:38
11K
octoprint-filecheck-2024.3.27-r0.apk
2024-04-16 02:38
28K
octoprint-creality2xfix-pyc-0.0.4-r1.apk
2024-04-16 02:38
3.4K
octoprint-creality2xfix-0.0.4-r1.apk
2024-04-16 02:38
4.8K
octoprint-1.10.1-r0.apk
2024-05-17 03:07
3.1M
ocp-index-vim-1.3.6-r0.apk
2024-03-23 21:42
3.0K
ocp-index-emacs-1.3.6-r0.apk
2024-03-23 21:42
6.3K
ocp-index-doc-1.3.6-r0.apk
2024-03-23 21:42
31K
ocp-index-1.3.6-r0.apk
2024-03-23 21:42
5.4M
ocp-indent-vim-1.8.2-r2.apk
2024-03-23 21:42
2.4K
ocp-indent-emacs-1.8.2-r2.apk
2024-03-23 21:42
3.7K
ocp-indent-doc-1.8.2-r2.apk
2024-03-23 21:42
17K
ocp-indent-1.8.2-r2.apk
2024-03-23 21:42
1.1M
ocfs2-tools-doc-1.8.7-r2.apk
2023-05-15 18:03
70K
ocfs2-tools-dev-1.8.7-r2.apk
2023-05-15 18:03
46K
ocfs2-tools-1.8.7-r2.apk
2023-05-15 18:03
1.0M
ocamlnet-tcl-4.1.9-r2.apk
2024-03-23 21:42
50K
ocamlnet-dev-4.1.9-r2.apk
2024-03-23 21:42
5.9M
ocamlnet-4.1.9-r2.apk
2024-03-23 21:42
16M
ocaml-zed-dev-3.1.0-r3.apk
2024-03-23 21:42
1.1M
ocaml-zed-3.1.0-r3.apk
2024-03-23 21:42
518K
ocaml-yojson-dev-2.1.2-r0.apk
2024-03-23 21:42
1.7M
ocaml-yojson-2.1.2-r0.apk
2024-03-23 21:42
1.0M
ocaml-xtmpl-dev-0.19.0-r0.apk
2024-04-22 08:14
777K
ocaml-xtmpl-0.19.0-r0.apk
2024-04-22 08:14
8.8M
ocaml-xmlm-dev-1.4.0-r2.apk
2024-03-23 21:42
100K
ocaml-xmlm-1.4.0-r2.apk
2024-03-23 21:42
547K
ocaml-xml-light-dev-2.5-r0.apk
2024-03-23 21:42
386K
ocaml-xml-light-2.5-r0.apk
2024-03-23 21:42
217K
ocaml-x509-dev-0.16.0-r2.apk
2024-03-23 21:42
1.5M
ocaml-x509-0.16.0-r2.apk
2024-03-23 21:42
836K
ocaml-uutf-dev-1.0.3-r2.apk
2024-03-23 21:42
55K
ocaml-uutf-1.0.3-r2.apk
2024-03-23 21:42
610K
ocaml-uuseg-tools-14.0.0-r2.apk
2024-03-23 21:42
1.3M
ocaml-uuseg-dev-14.0.0-r2.apk
2024-03-23 21:42
46K
ocaml-uuseg-14.0.0-r2.apk
2024-03-23 21:42
92K
ocaml-uunf-dev-14.0.0-r2.apk
2024-03-23 21:42
222K
ocaml-uunf-14.0.0-r2.apk
2024-03-23 21:42
1.1M
ocaml-uuidm-tools-0.9.8-r2.apk
2024-03-23 21:42
433K
ocaml-uuidm-dev-0.9.8-r2.apk
2024-03-23 21:42
25K
ocaml-uuidm-0.9.8-r2.apk
2024-03-23 21:42
45K
ocaml-uucp-dev-14.0.0-r2.apk
2024-03-23 21:42
1.1M
ocaml-uucp-14.0.0-r2.apk
2024-03-23 21:42
5.1M
ocaml-uucd-dev-14.0.0-r2.apk
2024-03-23 21:42
172K
ocaml-uucd-14.0.0-r2.apk
2024-03-23 21:42
279K
ocaml-utop-dev-2.9.1-r4.apk
2024-04-04 12:35
763K
ocaml-utop-2.9.1-r4.apk
2024-04-04 12:35
349K
ocaml-uri-dev-4.2.0-r2.apk
2024-03-23 21:42
4.3M
ocaml-uri-4.2.0-r2.apk
2024-03-23 21:42
1.4M
ocaml-tsdl-ttf-dev-0.6-r0.apk
2024-04-22 08:14
109K
ocaml-tsdl-ttf-0.6-r0.apk
2024-04-22 08:14
62K
ocaml-tsdl-image-dev-0.6-r0.apk
2024-04-22 08:14
78K
ocaml-tsdl-image-0.6-r0.apk
2024-04-22 08:14
47K
ocaml-tsdl-dev-1.0.0-r0.apk
2024-04-22 08:14
576K
ocaml-tsdl-1.0.0-r0.apk
2024-04-22 08:14
919K
ocaml-trie-dev-1.0.0-r2.apk
2024-03-23 21:42
25K
ocaml-trie-1.0.0-r2.apk
2024-03-23 21:42
16K
ocaml-topkg-dev-1.0.5-r2.apk
2024-03-23 21:42
407K
ocaml-topkg-1.0.5-r2.apk
2024-03-23 21:42
613K
ocaml-tophide-1.0.4-r2.apk
2024-03-23 21:42
5.6K
ocaml-tls-dev-0.15.3-r4.apk
2024-03-23 21:42
2.4M
ocaml-tls-0.15.3-r4.apk
2024-03-23 21:42
1.2M
ocaml-tcpip-dev-7.1.2-r3.apk
2024-03-23 21:42
2.4M
ocaml-tcpip-7.1.2-r3.apk
2024-03-23 21:42
1.2M
ocaml-stringext-dev-1.6.0-r2.apk
2024-03-23 21:42
78K
ocaml-stringext-1.6.0-r2.apk
2024-03-23 21:42
43K
ocaml-stk-dev-0.1.0-r0.apk
2024-04-22 08:14
9.9M
ocaml-stk-0.1.0-r0.apk
2024-04-22 08:14
6.1M
ocaml-stdlib-shims-0.3.0-r2.apk
2024-03-23 21:42
4.2K
ocaml-ssl-dev-0.7.0-r0.apk
2024-04-22 08:14
208K
ocaml-ssl-0.7.0-r0.apk
2024-04-22 08:14
93K
ocaml-sha-dev-1.15.4-r0.apk
2024-03-23 21:42
247K
ocaml-sha-1.15.4-r0.apk
2024-03-23 21:42
89K
ocaml-sexplib0-dev-0.16.0-r0.apk
2024-03-23 21:42
335K
ocaml-sexplib0-0.16.0-r0.apk
2024-03-23 21:42
171K
ocaml-sexplib-dev-0.16.0-r0.apk
2024-03-23 21:42
822K
ocaml-sexplib-0.16.0-r0.apk
2024-03-23 21:42
486K
ocaml-seq-dev-0.3.1-r2.apk
2024-03-23 21:42
20K
ocaml-seq-0.3.1-r2.apk
2024-03-23 21:42
14K
ocaml-sedlex-dev-3.2-r0.apk
2024-03-23 21:42
1.5M
ocaml-sedlex-3.2-r0.apk
2024-03-23 21:42
4.1M
ocaml-rresult-dev-0.7.0-r2.apk
2024-03-23 21:42
35K
ocaml-rresult-0.7.0-r2.apk
2024-03-23 21:42
38K
ocaml-result-dev-1.5-r2.apk
2024-03-23 21:42
7.9K
ocaml-result-1.5-r2.apk
2024-03-23 21:42
9.0K
ocaml-reason-dev-3.8.2-r1.apk
2024-03-23 21:42
29M
ocaml-reason-3.8.2-r1.apk
2024-03-23 21:42
15M
ocaml-react-dev-1.2.2-r2.apk
2024-03-23 21:42
198K
ocaml-react-1.2.2-r2.apk
2024-03-23 21:42
283K
ocaml-re-dev-1.11.0-r1.apk
2024-03-23 21:42
1.0M
ocaml-re-1.11.0-r1.apk
2024-03-23 21:42
524K
ocaml-randomconv-dev-0.1.3-r2.apk
2024-03-23 21:42
18K
ocaml-randomconv-0.1.3-r2.apk
2024-03-23 21:42
14K
ocaml-qtest-dev-2.11.2-r3.apk
2024-03-23 21:42
3.6K
ocaml-qtest-2.11.2-r3.apk
2024-03-23 21:42
317K
ocaml-qcheck-dev-0.18.1-r3.apk
2024-03-23 21:42
1.3M
ocaml-qcheck-0.18.1-r3.apk
2024-03-23 21:42
668K
ocaml-ptmap-dev-2.0.5-r3.apk
2024-03-23 21:42
94K
ocaml-ptmap-2.0.5-r3.apk
2024-03-23 21:42
54K
ocaml-ptime-dev-1.0.0-r2.apk
2024-03-23 21:42
76K
ocaml-ptime-1.0.0-r2.apk
2024-03-23 21:42
112K
ocaml-psq-dev-0.2.0-r2.apk
2024-03-23 21:42
174K
ocaml-psq-0.2.0-r2.apk
2024-03-23 21:42
97K
ocaml-ppxlib-dev-0.32.0-r0.apk
2024-03-23 21:42
16M
ocaml-ppxlib-0.32.0-r0.apk
2024-03-23 21:42
13M
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
2024-03-23 21:42
1.1M
ocaml-ppx_sexp_conv-0.16.0-r0.apk
2024-03-23 21:42
580K
ocaml-ppx_deriving-dev-5.3.0-r0.apk
2024-03-23 21:42
1.3M
ocaml-ppx_deriving-5.3.0-r0.apk
2024-03-23 21:42
4.9M
ocaml-ppx_derivers-dev-1.2.1-r2.apk
2024-03-23 21:42
11K
ocaml-ppx_derivers-1.2.1-r2.apk
2024-03-23 21:42
9.5K
ocaml-ppx_blob-dev-0.8.0-r0.apk
2024-04-22 08:14
19K
ocaml-ppx_blob-0.8.0-r0.apk
2024-04-22 08:14
3.7M
ocaml-pcre-dev-7.5.0-r4.apk
2024-03-23 21:42
302K
ocaml-pcre-7.5.0-r4.apk
2024-03-23 21:42
147K
ocaml-pbkdf-dev-1.2.0-r2.apk
2024-03-23 21:42
25K
ocaml-pbkdf-1.2.0-r2.apk
2024-03-23 21:42
17K
ocaml-parsexp-dev-0.16.0-r0.apk
2024-03-23 21:42
938K
ocaml-parsexp-0.16.0-r0.apk
2024-03-23 21:42
398K
ocaml-ounit-dev-2.2.7-r3.apk
2024-03-23 21:42
1.0M
ocaml-ounit-2.2.7-r3.apk
2024-03-23 21:42
536K
ocaml-otr-dev-0.3.10-r2.apk
2024-03-23 21:42
527K
ocaml-otr-0.3.10-r2.apk
2024-03-23 21:42
284K
ocaml-otoml-dev-1.0.5-r0.apk
2024-04-22 08:14
780K
ocaml-otoml-1.0.5-r0.apk
2024-04-22 08:14
444K
ocaml-omod-dev-0.0.3-r3.apk
2024-03-23 21:42
214K
ocaml-omod-bin-0.0.3-r3.apk
2024-03-23 21:42
2.7M
ocaml-omod-0.0.3-r3.apk
2024-03-23 21:42
330K
ocaml-omake-doc-0.10.6-r0.apk
2024-03-23 21:42
8.0K
ocaml-omake-0.10.6-r0.apk
2024-03-23 21:42
1.6M
ocaml-ocplib-endian-dev-1.2-r3.apk
2024-03-23 21:42
261K
ocaml-ocplib-endian-1.2-r3.apk
2024-03-23 21:42
157K
ocaml-ocp-index-dev-1.3.6-r0.apk
2024-03-23 21:42
529K
ocaml-ocp-index-1.3.6-r0.apk
2024-03-23 21:42
461K
ocaml-ocp-indent-dev-1.8.2-r2.apk
2024-03-23 21:42
638K
ocaml-ocp-indent-1.8.2-r2.apk
2024-03-23 21:42
538K
ocaml-ocf-dev-0.8.0-r3.apk
2024-03-23 21:42
324K
ocaml-ocf-0.8.0-r3.apk
2024-03-23 21:42
7.8M
ocaml-obuild-0.1.11-r0.apk
2024-03-23 21:42
1.1M
ocaml-num-dev-1.4-r3.apk
2024-03-23 21:42
94K
ocaml-num-1.4-r3.apk
2024-03-23 21:42
256K
ocaml-notty-dev-0.2.3-r0.apk
2024-03-23 21:42
608K
ocaml-notty-0.2.3-r0.apk
2024-03-23 21:42
308K
ocaml-mtime-dev-1.4.0-r2.apk
2024-03-23 21:42
48K
ocaml-mtime-1.4.0-r2.apk
2024-03-23 21:42
49K
ocaml-mqtt-dev-0.2.2-r0.apk
2024-04-22 08:14
259K
ocaml-mqtt-0.2.2-r0.apk
2024-04-22 08:14
156K
ocaml-mmap-dev-1.2.0-r3.apk
2024-03-23 21:42
6.5K
ocaml-mmap-1.2.0-r3.apk
2024-03-23 21:42
7.2K
ocaml-mirage-time-dev-3.0.0-r4.apk
2024-03-23 21:42
8.2K
ocaml-mirage-time-3.0.0-r4.apk
2024-03-23 21:42
9.9K
ocaml-mirage-random-dev-3.0.0-r3.apk
2024-03-23 21:42
5.4K
ocaml-mirage-random-3.0.0-r3.apk
2024-03-23 21:42
7.0K
ocaml-mirage-profile-dev-0.9.1-r3.apk
2024-03-23 21:42
31K
ocaml-mirage-profile-0.9.1-r3.apk
2024-03-23 21:42
24K
ocaml-mirage-net-dev-4.0.0-r3.apk
2024-03-23 21:42
20K
ocaml-mirage-net-4.0.0-r3.apk
2024-03-23 21:42
12K
ocaml-mirage-kv-dev-4.0.1-r3.apk
2024-03-23 21:42
43K
ocaml-mirage-kv-4.0.1-r3.apk
2024-03-23 21:42
20K
ocaml-mirage-flow-dev-3.0.0-r3.apk
2024-03-23 21:42
193K
ocaml-mirage-flow-3.0.0-r3.apk
2024-03-23 21:42
110K
ocaml-mirage-crypto-dev-0.10.6-r3.apk
2024-03-23 21:42
5.2M
ocaml-mirage-crypto-0.10.6-r3.apk
2024-03-23 21:42
1.1M
ocaml-mirage-clock-dev-4.2.0-r2.apk
2024-03-23 21:42
34K
ocaml-mirage-clock-4.2.0-r2.apk
2024-03-23 21:42
28K
ocaml-mikmatch-1.0.9-r2.apk
2024-03-23 21:42
145K
ocaml-mew_vi-dev-0.5.0-r3.apk
2024-03-23 21:42
296K
ocaml-mew_vi-0.5.0-r3.apk
2024-03-23 21:42
185K
ocaml-mew-dev-0.1.0-r3.apk
2024-03-23 21:42
96K
ocaml-mew-0.1.0-r3.apk
2024-03-23 21:42
69K
ocaml-metrics-dev-0.4.0-r3.apk
2024-03-23 21:42
450K
ocaml-metrics-0.4.0-r3.apk
2024-03-23 21:42
245K
ocaml-merlin-extend-dev-0.6.1-r2.apk
2024-03-23 21:42
91K
ocaml-merlin-extend-0.6.1-r2.apk
2024-03-23 21:42
49K
ocaml-menhir-doc-20220210-r2.apk
2024-03-23 21:42
3.9K
ocaml-menhir-dev-20220210-r2.apk
2024-03-23 21:42
899K
ocaml-menhir-20220210-r2.apk
2024-03-23 21:42
1.9M
ocaml-markup-dev-1.0.3-r3.apk
2024-03-23 21:42
2.1M
ocaml-markup-1.0.3-r3.apk
2024-03-23 21:42
1.0M
ocaml-magic-mime-dev-1.3.1-r0.apk
2024-04-22 08:14
321K
ocaml-magic-mime-1.3.1-r0.apk
2024-04-22 08:14
223K
ocaml-lwt_ssl-dev-1.2.0-r0.apk
2024-04-22 08:14
47K
ocaml-lwt_ssl-1.2.0-r0.apk
2024-04-22 08:14
29K
ocaml-lwt_react-5.7.0-r0.apk
2024-03-23 21:42
120K
ocaml-lwt_ppx-5.7.0-r0.apk
2024-03-23 21:42
3.8M
ocaml-lwt_log-dev-1.1.1-r5.apk
2024-03-23 21:42
256K
ocaml-lwt_log-1.1.1-r5.apk
2024-03-23 21:42
132K
ocaml-lwt-dllist-dev-1.0.1-r3.apk
2024-03-23 21:42
40K
ocaml-lwt-dllist-1.0.1-r3.apk
2024-03-23 21:42
23K
ocaml-lwt-dev-5.7.0-r0.apk
2024-03-23 21:42
3.1M
ocaml-lwt-5.7.0-r0.apk
2024-03-23 21:42
1.2M
ocaml-lwd-dev-0.3-r0.apk
2024-03-23 21:42
1.0M
ocaml-lwd-0.3-r0.apk
2024-03-23 21:42
490K
ocaml-lru-dev-0.3.0-r2.apk
2024-03-23 21:42
162K
ocaml-lru-0.3.0-r2.apk
2024-03-23 21:42
76K
ocaml-logs-dev-0.7.0-r3.apk
2024-03-23 21:42
85K
ocaml-logs-0.7.0-r3.apk
2024-03-23 21:42
114K
ocaml-libvirt-doc-0.6.1.7-r0.apk
2024-03-23 21:42
14K
ocaml-libvirt-dev-0.6.1.7-r0.apk
2024-03-23 21:42
97K
ocaml-libvirt-0.6.1.7-r0.apk
2024-03-23 21:42
174K
ocaml-lambdasoup-dev-0.7.3-r2.apk
2024-03-23 21:42
348K
ocaml-lambdasoup-0.7.3-r2.apk
2024-03-23 21:42
190K
ocaml-lambda-term-doc-3.2.0-r4.apk
2024-03-23 21:42
8.8K
ocaml-lambda-term-dev-3.2.0-r4.apk
2024-03-23 21:42
3.8M
ocaml-lambda-term-3.2.0-r4.apk
2024-03-23 21:42
3.4M
ocaml-labltk-dev-8.06.12-r2.apk
2024-03-23 21:42
1.5M
ocaml-labltk-8.06.12-r2.apk
2024-03-23 21:42
2.9M
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
2024-03-23 21:42
1.5M
ocaml-lablgtk3-extras-3.0.1-r2.apk
2024-03-23 21:42
881K
ocaml-lablgtk3-dev-3.1.2-r3.apk
2024-03-23 21:42
14M
ocaml-lablgtk3-3.1.2-r3.apk
2024-03-23 21:42
7.8M
ocaml-jsonm-tools-1.0.2-r0.apk
2024-04-22 08:14
435K
ocaml-jsonm-dev-1.0.2-r0.apk
2024-04-22 08:14
67K
ocaml-jsonm-1.0.2-r0.apk
2024-04-22 08:14
119K
ocaml-iso8601-dev-0.2.6-r0.apk
2024-04-22 08:14
87K
ocaml-iso8601-0.2.6-r0.apk
2024-04-22 08:14
52K
ocaml-iri-dev-1.0.0-r0.apk
2024-04-22 08:14
770K
ocaml-iri-1.0.0-r0.apk
2024-04-22 08:14
1.8M
ocaml-ipaddr-dev-5.3.1-r2.apk
2024-03-23 21:42
562K
ocaml-ipaddr-5.3.1-r2.apk
2024-03-23 21:42
313K
ocaml-integers-dev-0.7.0-r2.apk
2024-03-23 21:42
274K
ocaml-integers-0.7.0-r2.apk
2024-03-23 21:42
128K
ocaml-hkdf-dev-1.0.4-r2.apk
2024-03-23 21:42
18K
ocaml-hkdf-1.0.4-r2.apk
2024-03-23 21:42
14K
ocaml-higlo-dev-0.9-r0.apk
2024-04-22 08:14
677K
ocaml-higlo-0.9-r0.apk
2024-04-22 08:14
4.9M
ocaml-hex-dev-1.5.0-r2.apk
2024-03-23 21:42
53K
ocaml-hex-1.5.0-r2.apk
2024-03-23 21:42
29K
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2024-03-23 21:42
2.4M
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
2024-03-23 21:42
59K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
2024-03-23 21:42
39K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
2024-03-23 21:42
149K
ocaml-happy-eyeballs-0.3.0-r2.apk
2024-03-23 21:42
84K
ocaml-gmap-dev-0.3.0-r2.apk
2024-03-23 21:42
75K
ocaml-gmap-0.3.0-r2.apk
2024-03-23 21:42
36K
ocaml-gitlab-dev-0.1.8-r0.apk
2024-04-22 08:14
12M
ocaml-gitlab-0.1.8-r0.apk
2024-04-22 08:14
3.0M
ocaml-gettext-doc-0.4.2-r3.apk
2024-03-23 21:42
19K
ocaml-gettext-dev-0.4.2-r3.apk
2024-03-23 21:42
725K
ocaml-gettext-0.4.2-r3.apk
2024-03-23 21:42
3.4M
ocaml-gen-dev-1.1-r1.apk
2024-03-23 21:42
613K
ocaml-gen-1.1-r1.apk
2024-03-23 21:42
323K
ocaml-fpath-dev-0.7.3-r2.apk
2024-03-23 21:42
103K
ocaml-fpath-0.7.3-r2.apk
2024-03-23 21:42
139K
ocaml-fmt-dev-0.9.0-r2.apk
2024-03-23 21:42
126K
ocaml-fmt-0.9.0-r2.apk
2024-03-23 21:42
191K
ocaml-fix-dev-20220121-r2.apk
2024-03-23 21:42
429K
ocaml-fix-20220121-r2.apk
2024-03-23 21:42
167K
ocaml-fileutils-doc-0.6.4-r2.apk
2024-03-23 21:42
16K
ocaml-fileutils-dev-0.6.4-r2.apk
2024-03-23 21:42
598K
ocaml-fileutils-0.6.4-r2.apk
2024-03-23 21:42
314K
ocaml-ezxmlm-dev-1.1.0-r0.apk
2024-04-22 08:14
52K
ocaml-ezxmlm-1.1.0-r0.apk
2024-04-22 08:14
32K
ocaml-extlib-doc-1.7.9-r2.apk
2024-03-23 21:42
11K
ocaml-extlib-dev-1.7.9-r2.apk
2024-03-23 21:42
1.3M
ocaml-extlib-1.7.9-r2.apk
2024-03-23 21:42
637K
ocaml-ethernet-dev-3.0.0-r3.apk
2024-03-23 21:42
83K
ocaml-ethernet-3.0.0-r3.apk
2024-03-23 21:42
43K
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
2024-03-23 21:42
1.7M
ocaml-erm_xmpp-0_git20220404-r2.apk
2024-03-23 21:42
1.3M
ocaml-erm_xml-dev-0_git20211229-r2.apk
2024-03-23 21:42
778K
ocaml-erm_xml-0_git20211229-r2.apk
2024-03-23 21:42
582K
ocaml-eqaf-dev-0.8-r2.apk
2024-03-23 21:42
120K
ocaml-eqaf-0.8-r2.apk
2024-03-23 21:42
68K
ocaml-easy-format-dev-1.3.4-r1.apk
2024-03-23 21:42
111K
ocaml-easy-format-1.3.4-r1.apk
2024-03-23 21:42
59K
ocaml-duration-dev-0.2.0-r2.apk
2024-03-23 21:42
45K
ocaml-duration-0.2.0-r2.apk
2024-03-23 21:42
27K
ocaml-down-dev-0.1.0-r3.apk
2024-03-23 21:42
333K
ocaml-down-0.1.0-r3.apk
2024-03-23 21:42
627K
ocaml-domain-name-dev-0.4.0-r2.apk
2024-03-23 21:42
141K
ocaml-domain-name-0.4.0-r2.apk
2024-03-23 21:42
74K
ocaml-dns-tools-6.2.2-r3.apk
2024-03-23 21:42
10M
ocaml-dns-dev-6.2.2-r3.apk
2024-03-23 21:42
4.2M
ocaml-dns-6.2.2-r3.apk
2024-03-23 21:42
2.2M
ocaml-curses-dev-1.0.10-r2.apk
2024-03-23 21:42
312K
ocaml-curses-1.0.10-r2.apk
2024-03-23 21:42
134K
ocaml-ctypes-dev-0.20.1-r2.apk
2024-03-23 21:42
850K
ocaml-ctypes-0.20.1-r2.apk
2024-03-23 21:42
876K
ocaml-cstruct-dev-6.1.0-r3.apk
2024-03-23 21:42
709K
ocaml-cstruct-6.1.0-r3.apk
2024-03-23 21:42
4.1M
ocaml-containers-top-3.7-r2.apk
2024-03-23 21:42
22K
ocaml-containers-dev-3.7-r2.apk
2024-03-23 21:42
6.7M
ocaml-containers-3.7-r2.apk
2024-03-23 21:42
3.5M
ocaml-conduit-dev-6.1.0-r0.apk
2024-04-22 08:14
549K
ocaml-conduit-6.1.0-r0.apk
2024-04-22 08:14
291K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
2024-03-23 21:42
104K
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
2024-03-23 21:42
77K
ocaml-cohttp-tools-5.3.1-r0.apk
2024-04-22 08:14
7.6M
ocaml-cohttp-dev-5.3.1-r0.apk
2024-04-22 08:14
1.3M
ocaml-cohttp-5.3.1-r0.apk
2024-04-22 08:14
677K
ocaml-cmdliner-doc-1.1.1-r3.apk
2024-03-23 21:42
20K
ocaml-cmdliner-dev-1.1.1-r3.apk
2024-03-23 21:42
241K
ocaml-cmdliner-1.1.1-r3.apk
2024-03-23 21:42
469K
ocaml-charinfo_width-dev-1.1.0-r3.apk
2024-03-23 21:42
189K
ocaml-charinfo_width-1.1.0-r3.apk
2024-03-23 21:42
104K
ocaml-camomile-dev-1.0.2-r3.apk
2024-03-23 21:42
2.5M
ocaml-camomile-data-1.0.2-r3.apk
2024-03-23 21:42
5.1M
ocaml-camomile-1.0.2-r3.apk
2024-03-23 21:42
1.3M
ocaml-camlzip-dev-1.11-r2.apk
2024-03-23 21:42
220K
ocaml-camlzip-1.11-r2.apk
2024-03-23 21:42
113K
ocaml-calendar-doc-2.04-r4.apk
2024-03-23 21:42
12K
ocaml-calendar-dev-2.04-r4.apk
2024-03-23 21:42
162K
ocaml-calendar-2.04-r4.apk
2024-03-23 21:42
219K
ocaml-cairo2-dev-0.6.2-r2.apk
2024-03-23 21:42
449K
ocaml-cairo2-0.6.2-r2.apk
2024-03-23 21:42
163K
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
2024-03-23 21:42
1.5M
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
2024-03-23 21:42
447K
ocaml-ca-certs-nss-3.89.1-r1.apk
2024-03-23 21:42
395K
ocaml-ca-certs-dev-0.2.2-r2.apk
2024-03-23 21:42
40K
ocaml-ca-certs-0.2.2-r2.apk
2024-03-23 21:42
28K
ocaml-bos-dev-0.2.1-r2.apk
2024-03-23 21:42
351K
ocaml-bos-0.2.1-r2.apk
2024-03-23 21:42
461K
ocaml-bitstring-dev-4.1.0-r3.apk
2024-03-23 21:42
579K
ocaml-bitstring-4.1.0-r3.apk
2024-03-23 21:42
4.0M
ocaml-bisect_ppx-dev-2.8.3-r0.apk
2024-03-23 21:42
551K
ocaml-bisect_ppx-2.8.3-r0.apk
2024-03-23 21:42
4.5M
ocaml-biniou-dev-1.2.1-r5.apk
2024-03-23 21:42
365K
ocaml-biniou-1.2.1-r5.apk
2024-03-23 21:42
555K
ocaml-bigstringaf-dev-0.9.0-r2.apk
2024-03-23 21:42
91K
ocaml-bigstringaf-0.9.0-r2.apk
2024-03-23 21:42
46K
ocaml-bigarray-compat-dev-1.1.0-r2.apk
2024-03-23 21:42
12K
ocaml-bigarray-compat-1.1.0-r2.apk
2024-03-23 21:42
12K
ocaml-base64-dev-3.5.0-r2.apk
2024-03-23 21:42
175K
ocaml-base64-3.5.0-r2.apk
2024-03-23 21:42
89K
ocaml-base-dev-0.16.3-r0.apk
2024-03-23 21:42
9.6M
ocaml-base-0.16.3-r0.apk
2024-03-23 21:42
4.6M
ocaml-atd-dev-2.15.0-r0.apk
2024-04-22 08:14
1.9M
ocaml-atd-2.15.0-r0.apk
2024-04-22 08:14
6.6M
ocaml-astring-dev-0.8.5-r2.apk
2024-03-23 21:42
180K
ocaml-astring-0.8.5-r2.apk
2024-03-23 21:42
286K
ocaml-asn1-combinators-dev-0.2.6-r2.apk
2024-03-23 21:42
574K
ocaml-asn1-combinators-0.2.6-r2.apk
2024-03-23 21:42
316K
ocaml-arp-dev-3.0.0-r3.apk
2024-03-23 21:42
159K
ocaml-arp-3.0.0-r3.apk
2024-03-23 21:42
84K
ocaml-angstrom-dev-0.16.0-r0.apk
2024-03-23 21:42
341K
ocaml-angstrom-0.16.0-r0.apk
2024-03-23 21:42
176K
ocaml-amqp-client-dev-2.3.0-r0.apk
2024-04-22 08:14
1.0M
ocaml-amqp-client-2.3.0-r0.apk
2024-04-22 08:14
605K
ocaml-alcotest-dev-1.5.0-r4.apk
2024-04-22 08:14
821K
ocaml-alcotest-1.5.0-r4.apk
2024-04-22 08:14
460K
objconv-2.52_git20210213-r2.apk
2022-10-25 01:23
211K
oauth2-proxy-openrc-7.6.0-r4.apk
2024-05-19 02:22
2.1K
oauth2-proxy-7.6.0-r4.apk
2024-05-19 02:22
7.3M
nzbget-doc-21.1-r2.apk
2023-04-29 19:30
69K
nzbget-21.1-r2.apk
2023-04-29 19:30
1.0M
nymphcast-mediaserver-nftables-0.1-r2.apk
2023-01-08 07:08
1.7K
nymphcast-mediaserver-0.1-r2.apk
2023-01-08 07:08
57K
nwg-dock-0.3.9-r4.apk
2024-05-19 02:22
1.5M
nwg-displays-pyc-0.3.13-r1.apk
2024-04-16 02:00
35K
nwg-displays-0.3.13-r1.apk
2024-04-16 02:00
24K
nwg-bar-0.1.6-r3.apk
2024-05-19 02:22
1.4M
nvtop-doc-3.1.0-r0.apk
2024-02-24 13:45
3.5K
nvtop-3.1.0-r0.apk
2024-02-24 13:45
58K
nvimpager-zsh-completion-0.12.0-r0.apk
2023-07-02 19:37
1.8K
nvimpager-doc-0.12.0-r0.apk
2023-07-02 19:37
4.4K
nvimpager-0.12.0-r0.apk
2023-07-02 19:37
13K
nvim-treesitter-doc-0.0.0_git20221013-r0.apk
2022-10-13 22:58
18K
nvim-treesitter-0.0.0_git20221013-r0.apk
2022-10-13 22:58
194K
nvim-packer-doc-0.0.0_git20220910-r0.apk
2022-10-13 22:58
21K
nvim-packer-0.0.0_git20220910-r0.apk
2022-10-13 22:58
46K
nvim-lualine-doc-0.0.0_git20221006-r0.apk
2022-10-13 22:58
19K
nvim-lualine-0.0.0_git20221006-r0.apk
2022-10-13 22:58
59K
nvim-gruvbox-doc-0.0.0_git20221212-r0.apk
2022-12-18 19:29
2.9K
nvim-gruvbox-0.0.0_git20221212-r0.apk
2022-12-18 19:29
10K
nvim-cmp-path-doc-0.0.0_git20221002-r0.apk
2022-10-13 22:58
2.0K
nvim-cmp-path-0.0.0_git20221002-r0.apk
2022-10-13 22:58
3.8K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r0.apk
2022-08-13 16:25
2.1K
nvim-cmp-luasnip-0.0.0_git20220501-r0.apk
2022-08-13 16:25
3.6K
nvim-cmp-lsp-doc-0.0.0_git20220516-r0.apk
2022-06-15 23:15
2.4K
nvim-cmp-lsp-0.0.0_git20220516-r0.apk
2022-06-15 23:15
3.3K
nvim-cmp-doc-0.0.0_git20221011-r0.apk
2022-10-13 22:58
11K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r0.apk
2022-10-13 22:58
1.8K
nvim-cmp-cmdline-0.0.0_git20220902-r0.apk
2022-10-13 22:58
3.4K
nvim-cmp-buffer-doc-0.0.0_git20220810-r0.apk
2022-10-13 22:58
4.2K
nvim-cmp-buffer-0.0.0_git20220810-r0.apk
2022-10-13 22:58
7.9K
nvim-cmp-0.0.0_git20221011-r0.apk
2022-10-13 22:58
55K
nuzzle-doc-1.5-r0.apk
2023-12-08 14:23
3.3K
nuzzle-1.5-r0.apk
2023-12-08 14:23
11K
numbat-doc-1.9.0-r0.apk
2024-02-06 04:37
25K
numbat-1.9.0-r0.apk
2024-02-06 04:37
1.0M
nullmailer-openrc-2.2-r4.apk
2021-12-13 16:06
1.6K
nullmailer-doc-2.2-r4.apk
2021-12-13 16:06
10K
nullmailer-2.2-r4.apk
2021-12-13 16:06
74K
nuklear-doc-4.12.0-r0.apk
2024-02-18 02:31
42K
nuklear-4.12.0-r0.apk
2024-02-18 02:31
220K
nsq-1.3.0-r3.apk
2024-05-19 02:22
22M
nsnake-doc-3.0.0-r0.apk
2022-04-15 15:47
2.6K
nsnake-3.0.0-r0.apk
2022-04-15 15:47
8.2K
nsjail-doc-3.4-r2.apk
2023-11-15 16:23
24K
nsjail-3.4-r2.apk
2023-11-15 16:23
168K
nsh-dbg-0.4.2-r1.apk
2023-05-24 07:50
3.3M
nsh-0.4.2-r1.apk
2023-05-24 07:50
529K
notification-daemon-3.20.0-r0.apk
2024-05-19 15:12
57K
noson-dev-2.10.3-r0.apk
2023-06-15 13:47
34K
noson-dbg-2.10.3-r0.apk
2023-06-15 13:47
3.0M
noson-app-dbg-5.4.1-r1.apk
2023-08-28 14:03
13M
noson-app-5.4.1-r1.apk
2023-08-28 14:03
1.1M
noson-2.10.3-r0.apk
2023-06-15 13:47
270K
normaliz-libs-3.10.2-r1.apk
2024-04-22 19:49
2.1M
normaliz-dev-3.10.2-r1.apk
2024-04-22 19:49
71K
normaliz-3.10.2-r1.apk
2024-04-22 19:49
34K
nom-2.1.4-r1.apk
2024-05-19 02:22
7.3M
noice-doc-0.8-r1.apk
2022-10-25 01:23
3.4K
noice-0.8-r1.apk
2022-10-25 01:23
8.2K
noggin-model-lightweight-0.1-r0.apk
2023-06-15 13:47
1.7M
noggin-model-0.1-r0.apk
2023-06-15 13:47
12M
noggin-doc-0.1-r8.apk
2024-05-19 02:22
2.9K
noggin-0.1-r8.apk
2024-05-19 02:22
1.2M
noblenote-1.2.1-r1.apk
2022-10-25 01:23
378K
nmon-16q-r0.apk
2024-04-30 05:47
78K
nmap-parse-output-doc-1.5.1-r0.apk
2022-06-12 23:54
807K
nmap-parse-output-bash-completion-1.5.1-r0.apk
2022-06-12 23:54
1.7K
nmap-parse-output-1.5.1-r0.apk
2022-06-12 23:54
21K
nm-tray-lang-0.5.0-r0.apk
2024-01-28 23:12
27K
nm-tray-0.5.0-r0.apk
2024-01-28 23:12
91K
nlopt-doc-2.7.1-r0.apk
2022-05-06 11:53
23K
nlopt-dev-2.7.1-r0.apk
2022-05-06 11:53
12K
nlopt-2.7.1-r0.apk
2022-05-06 11:53
155K
nkk-doc-0_git20221010-r0.apk
2023-02-23 20:39
7.0K
nkk-dev-0_git20221010-r0.apk
2023-02-23 20:39
2.9K
nkk-0_git20221010-r0.apk
2023-02-23 20:39
12K
nixpacks-0.1.7-r1.apk
2023-05-24 07:50
800K
nitrocli-doc-0.4.1-r3.apk
2023-05-24 07:50
8.8K
nitrocli-bash-completion-0.4.1-r3.apk
2023-05-24 07:50
3.2K
nitrocli-0.4.1-r3.apk
2023-05-24 07:50
377K
nitro-dev-2.7_beta8-r2.apk
2023-10-19 18:10
190K
nitro-2.7_beta8-r2.apk
2023-10-19 18:10
473K
nicotine-plus-pyc-3.3.2-r1.apk
2024-04-16 02:00
755K
nicotine-plus-lang-3.3.2-r1.apk
2024-04-16 02:00
577K
nicotine-plus-doc-3.3.2-r1.apk
2024-04-16 02:00
2.4K
nicotine-plus-3.3.2-r1.apk
2024-04-16 02:00
1.2M
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk
2023-11-02 13:01
21K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk
2023-11-02 13:01
716K
nfoview-doc-2.0.1-r0.apk
2024-05-19 16:05
8.0K
nfoview-2.0.1-r0.apk
2024-05-19 16:05
39K
nextpnr-ice40-0.6-r2.apk
2024-04-22 19:49
68M
nextpnr-gowin-0.6-r2.apk
2024-04-22 19:49
1.1M
nextpnr-generic-0.6-r2.apk
2024-04-22 19:49
613K
nextpnr-ecp5-0.6-r2.apk
2024-04-22 19:49
25M
nextpnr-0.6-r2.apk
2024-04-22 19:49
1.4K
newsyslog-doc-1.2.0.91-r1.apk
2023-06-15 13:47
24K
newsyslog-1.2.0.91-r1.apk
2023-06-15 13:47
18K
netsurf-framebuffer-doc-3.11-r0.apk
2024-02-03 14:34
3.9K
netsurf-framebuffer-3.11-r0.apk
2024-02-03 14:34
2.5M
netsurf-doc-3.11-r0.apk
2024-02-03 14:34
4.4K
netsurf-3.11-r0.apk
2024-02-03 14:34
1.9M
netsed-1.3-r3.apk
2022-10-25 01:23
9.3K
netscanner-doc-0.5.1-r1.apk
2024-06-03 20:40
3.3K
netscanner-0.5.1-r1.apk
2024-06-03 20:40
3.4M
netdiscover-doc-0.10-r0.apk
2023-09-30 01:01
22K
netdiscover-0.10-r0.apk
2023-09-30 01:01
487K
nemo-qml-plugin-alarms-0.3.10-r1.apk
2021-11-01 17:09
46K
nemo-gtkhash-plugin-1.5-r0.apk
2022-10-01 23:13
20K
neard-openrc-0.19-r0.apk
2023-09-19 21:49
1.7K
neard-doc-0.19-r0.apk
2023-09-19 21:49
5.6K
neard-dev-0.19-r0.apk
2023-09-19 21:49
11K
neard-0.19-r0.apk
2023-09-19 21:49
124K
nbsdgames-doc-5-r0.apk
2022-05-04 15:20
9.4K
nbsdgames-5-r0.apk
2022-05-04 15:20
85K
nb-zsh-completion-7.12.1-r0.apk
2024-02-24 00:36
2.9K
nb-full-7.12.1-r0.apk
2024-02-24 00:36
1.5K
nb-fish-completion-7.12.1-r0.apk
2024-02-24 00:36
2.8K
nb-doc-7.12.1-r0.apk
2024-02-24 00:36
76K
nb-bash-completion-7.12.1-r0.apk
2024-02-24 00:36
3.0K
nb-7.12.1-r0.apk
2024-02-24 00:36
149K
nauty-dev-2.8.8-r0.apk
2023-12-08 03:15
2.5M
nauty-2.8.8-r0.apk
2023-12-08 03:15
4.2M
nano-hare-0_git20231021-r0.apk
2024-01-31 17:23
2.2K
n30f-2.0-r3.apk
2022-10-25 01:23
6.3K
mypaint-pyc-2.0.1-r0.apk
2023-04-24 03:29
1.2M
mypaint-lang-2.0.1-r0.apk
2023-04-24 03:29
1.2M
mypaint-2.0.1-r0.apk
2023-04-24 03:29
3.8M
mxclient-0_git20211002-r1.apk
2022-10-25 01:23
60K
musikcube-plugin-taglibreader-3.0.2-r1.apk
2023-12-13 21:20
29K
musikcube-plugin-supereqdsp-3.0.2-r1.apk
2023-12-13 21:20
22K
musikcube-plugin-stockencoders-3.0.2-r1.apk
2023-12-13 21:20
16K
musikcube-plugin-server-3.0.2-r1.apk
2023-12-13 21:20
327K
musikcube-plugin-openmpt-3.0.2-r1.apk
2023-12-13 21:20
26K
musikcube-plugin-mpris-3.0.2-r1.apk
2023-12-13 21:20
18K
musikcube-plugin-httpdatastream-3.0.2-r1.apk
2023-12-13 21:20
67K
musikcube-plugin-all-3.0.2-r1.apk
2023-12-13 21:20
1.5K
musikcube-dev-3.0.2-r1.apk
2023-12-13 21:20
21K
musikcube-3.0.2-r1.apk
2023-12-13 21:20
2.0M
muse-doc-4.2.1-r1.apk
2024-04-16 17:53
4.1M
muse-4.2.1-r1.apk
2024-04-16 17:53
5.6M
muon-doc-0.2.0-r2.apk
2024-03-27 22:18
68K
muon-0.2.0-r2.apk
2024-03-27 22:18
194K
mspdebug-doc-0.25-r1.apk
2022-10-25 01:23
14K
mspdebug-0.25-r1.apk
2022-10-25 01:23
161K
msh-openrc-2.5.0-r5.apk
2024-05-19 02:22
2.0K
msh-2.5.0-r5.apk
2024-05-19 02:22
2.5M
msgpuck-doc-2.0-r1.apk
2020-07-23 02:00
7.3K
msgpuck-dev-2.0-r1.apk
2020-07-23 02:00
22K
msgpuck-2.0-r1.apk
2020-07-23 02:00
1.2K
mrsh-libs-0_git20210518-r1.apk
2022-10-25 01:23
50K
mrsh-dev-0_git20210518-r1.apk
2022-10-25 01:23
10K
mrsh-dbg-0_git20210518-r1.apk
2022-10-25 01:23
206K
mrsh-0_git20210518-r1.apk
2022-10-25 01:23
5.0K
mqtt2prometheus-0.1.7-r9.apk
2024-05-19 02:22
3.9M
mpvpaper-doc-1.5-r0.apk
2024-05-04 13:17
3.6K
mpvpaper-1.5-r0.apk
2024-05-04 13:17
24K
mpop-vim-1.4.18-r0.apk
2023-01-31 23:00
2.7K
mpop-lang-1.4.18-r0.apk
2023-01-31 23:00
103K
mpop-doc-1.4.18-r0.apk
2023-01-31 23:00
33K
mpop-1.4.18-r0.apk
2023-01-31 23:00
61K
mpdris2-rs-doc-0.2.3-r0.apk
2024-03-11 07:24
14K
mpdris2-rs-0.2.3-r0.apk
2024-03-11 07:24
657K
mpdris2-lang-0.9.1-r3.apk
2022-07-28 01:52
2.3K
mpdris2-doc-0.9.1-r3.apk
2022-07-28 01:52
15K
mpdris2-0.9.1-r3.apk
2022-07-28 01:52
15K
mpdcron-zsh-completion-0.3-r1.apk
2022-10-25 01:23
2.9K
mpdcron-doc-0.3-r1.apk
2022-10-25 01:23
13K
mpdcron-dev-0.3-r1.apk
2022-10-25 01:23
52K
mpdcron-0.3-r1.apk
2022-10-25 01:23
90K
mp3val-0.1.8-r1.apk
2022-10-14 16:53
12K
mp3gain-1.6.2-r2.apk
2023-09-25 21:23
30K
motion-openrc-4.6.0-r0.apk
2023-11-13 23:52
2.2K
motion-lang-4.6.0-r0.apk
2023-11-13 23:52
488K
motion-doc-4.6.0-r0.apk
2023-11-13 23:52
141K
motion-4.6.0-r0.apk
2023-11-13 23:52
134K
morph-browser-lang-1.1.0-r0.apk
2024-02-06 22:54
303K
morph-browser-1.1.0-r0.apk
2024-02-06 22:54
523K
moosefs-static-3.0.117-r1.apk
2023-06-17 23:06
590K
moosefs-metalogger-openrc-3.0.117-r1.apk
2023-06-17 23:06
1.7K
moosefs-metalogger-3.0.117-r1.apk
2023-06-17 23:06
31K
moosefs-master-openrc-3.0.117-r1.apk
2023-06-17 23:06
1.7K
moosefs-master-3.0.117-r1.apk
2023-06-17 23:06
270K
moosefs-doc-3.0.117-r1.apk
2023-06-17 23:06
65K
moosefs-client-3.0.117-r1.apk
2023-06-17 23:06
312K
moosefs-chunkserver-openrc-3.0.117-r1.apk
2023-06-17 23:06
1.7K
moosefs-chunkserver-3.0.117-r1.apk
2023-06-17 23:06
172K
moosefs-cgiserv-openrc-3.0.117-r1.apk
2023-06-17 23:06
2.0K
moosefs-cgiserv-3.0.117-r1.apk
2023-06-17 23:06
7.8K
moosefs-cgi-3.0.117-r1.apk
2023-06-17 23:06
63K
moosefs-3.0.117-r1.apk
2023-06-17 23:06
211K
moon-buggy-doc-1.0.51-r1.apk
2022-10-25 01:23
7.1K
moon-buggy-1.0.51-r1.apk
2022-10-25 01:23
32K
mongo-cxx-driver-dev-3.8.0-r0.apk
2023-08-19 22:09
89K
mongo-cxx-driver-3.8.0-r0.apk
2023-08-19 22:09
159K
monetdb-doc-11.33.11-r4.apk
2023-04-29 19:30
321K
monetdb-dev-11.33.11-r4.apk
2023-04-29 19:30
77K
monetdb-11.33.11-r4.apk
2023-04-29 19:30
2.1M
moka-icon-theme-5.4.0-r2.apk
2021-01-14 04:12
114M
moe-doc-1.14-r0.apk
2024-01-21 04:05
19K
moe-1.14-r0.apk
2024-01-21 04:05
92K
moderncli-doc-0.8.1-r0.apk
2024-02-13 22:03
5.1K
moderncli-dev-0.8.1-r0.apk
2024-02-13 22:03
15K
moderncli-0.8.1-r0.apk
2024-02-13 22:03
1.4K
modem-manager-gui-lang-0.0.20-r0.apk
2021-10-29 15:58
129K
modem-manager-gui-doc-0.0.20-r0.apk
2021-10-29 15:58
3.9M
modem-manager-gui-0.0.20-r0.apk
2021-10-29 15:58
305K
mobroute-doc-0.5.0-r0.apk
2024-06-08 23:51
18K
mobroute-0.5.0-r0.apk
2024-06-08 23:51
3.7M
mobpass-pyc-0.2-r5.apk
2024-04-16 02:00
5.3K
mobpass-0.2-r5.apk
2024-04-16 02:00
5.7K
mnamer-pyc-2.5.5-r1.apk
2024-04-16 02:00
60K
mnamer-2.5.5-r1.apk
2024-04-16 02:00
32K
mmtc-0.3.2-r0.apk
2023-11-12 11:22
438K
mml-zsh-completion-1.0.0-r0.apk
2023-11-11 00:21
2.8K
mml-fish-completion-1.0.0-r0.apk
2023-11-11 00:21
2.3K
mml-doc-1.0.0-r0.apk
2023-11-11 00:21
3.9K
mml-bash-completion-1.0.0-r0.apk
2023-11-11 00:21
2.3K
mml-1.0.0-r0.apk
2023-11-11 00:21
767K
mmix-0_git20221025-r0.apk
2022-12-14 12:16
165K
mm-doc-1.4.2-r1.apk
2020-07-23 02:00
14K
mm-dev-1.4.2-r1.apk
2020-07-23 02:00
11K
mm-common-doc-1.0.5-r0.apk
2023-01-01 23:06
32K
mm-common-1.0.5-r0.apk
2023-01-01 23:06
473K
mm-1.4.2-r1.apk
2020-07-23 02:00
6.7K
mlxl-0.1-r0.apk
2023-03-18 11:50
5.3K
mktorrent-borg-doc-0.9.9-r1.apk
2022-08-04 08:28
2.5K
mktorrent-borg-0.9.9-r1.apk
2022-08-04 08:28
9.5K
mkg3a-doc-0.5.0-r1.apk
2022-10-25 01:23
3.1K
mkg3a-0.5.0-r1.apk
2022-10-25 01:23
15K
mkdocs-windmill-pyc-1.0.5-r3.apk
2024-04-16 02:00
1.8K
mkdocs-windmill-1.0.5-r3.apk
2024-04-16 02:00
943K
mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk
2024-04-16 02:00
1.8K
mkdocs-rtd-dropdown-1.0.2-r4.apk
2024-04-16 02:00
246K
mkdocs-ivory-pyc-0.4.6-r4.apk
2024-04-16 02:00
1.8K
mkdocs-ivory-0.4.6-r4.apk
2024-04-16 02:00
9.2K
mkdocs-gitbook-pyc-0.0.1-r4.apk
2024-04-16 02:00
1.8K
mkdocs-gitbook-0.0.1-r4.apk
2024-04-16 02:00
639K
mkdocs-cluster-pyc-0.0.9-r4.apk
2024-04-16 02:00
1.8K
mkdocs-cluster-0.0.9-r4.apk
2024-04-16 01:59
649K
mkdocs-cinder-pyc-1.2.0-r4.apk
2024-04-16 01:59
1.8K
mkdocs-cinder-1.2.0-r4.apk
2024-04-16 01:59
246K
mkdocs-bootswatch-pyc-1.1-r4.apk
2024-04-16 01:59
4.7K
mkdocs-bootswatch-1.1-r4.apk
2024-04-16 01:59
535K
mkdocs-bootstrap386-pyc-0.0.2-r4.apk
2024-04-16 01:59
1.8K
mkdocs-bootstrap386-0.0.2-r4.apk
2024-04-16 01:59
789K
mkdocs-bootstrap4-pyc-0.1.5-r4.apk
2024-04-16 01:59
1.8K
mkdocs-bootstrap4-0.1.5-r4.apk
2024-04-16 01:59
259K
mkdocs-bootstrap-pyc-1.1.1-r1.apk
2024-04-16 01:59
1.8K
mkdocs-bootstrap-1.1.1-r1.apk
2024-04-16 01:59
27K
mkcert-1.4.4-r12.apk
2024-05-19 02:22
1.5M
mjpg-streamer-input-raspicam-0_git20210220-r1.apk
2022-10-25 01:23
15K
mjpg-streamer-0_git20210220-r1.apk
2022-10-25 01:23
193K
mixxx-doc-2.4.1-r0.apk
2024-06-05 23:00
160K
mixxx-2.4.1-r0.apk
2024-06-05 23:00
17M
mitra-openrc-2.21.0-r0.apk
2024-06-02 16:54
1.9K
mitra-doc-2.21.0-r0.apk
2024-06-02 16:54
20K
mitra-2.21.0-r0.apk
2024-06-02 16:54
6.9M
mitmproxy-pyc-10.2.0-r0.apk
2024-04-16 01:59
883K
mitmproxy-10.2.0-r0.apk
2024-04-16 01:59
1.5M
miraclecast-bash-completion-1.0_git20221016-r0.apk
2022-10-17 16:17
2.9K
miraclecast-1.0_git20221016-r0.apk
2022-10-17 16:17
141K
mir-test-tools-2.15.0-r1.apk
2024-04-22 19:49
189K
mir-dev-2.15.0-r1.apk
2024-04-22 19:49
4.3M
mir-demos-2.15.0-r1.apk
2024-04-22 19:49
104K
mir-2.15.0-r1.apk
2024-04-22 19:49
1.4M
mint-y-theme-xfwm4-2.1.1-r0.apk
2023-06-15 13:47
207K
mint-y-theme-metacity-2.1.1-r0.apk
2023-06-15 13:47
58K
mint-y-theme-gtk4-2.1.1-r0.apk
2023-06-15 13:47
1.6M
mint-y-theme-gtk3-2.1.1-r0.apk
2023-06-15 13:47
1.8M
mint-y-theme-gtk2-2.1.1-r0.apk
2023-06-15 13:47
656K
mint-y-theme-2.1.1-r0.apk
2023-06-15 13:47
4.1K
mint-y-icons-doc-1.7.2-r0.apk
2023-12-31 20:12
10K
mint-y-icons-1.7.2-r0.apk
2023-12-31 20:12
71M
mint-x-theme-xfwm4-2.1.1-r0.apk
2023-06-15 13:47
35K
mint-x-theme-metacity-2.1.1-r0.apk
2023-06-15 13:47
6.1K
mint-x-theme-gtk4-2.1.1-r0.apk
2023-06-15 13:47
510K
mint-x-theme-gtk3-2.1.1-r0.apk
2023-06-15 13:47
603K
mint-x-theme-gtk2-2.1.1-r0.apk
2023-06-15 13:47
490K
mint-x-theme-2.1.1-r0.apk
2023-06-15 13:47
2.3K
mint-x-icons-doc-1.6.5-r1.apk
2023-10-31 12:13
7.2K
mint-x-icons-1.6.5-r1.apk
2023-10-31 12:13
23M
mint-themes-doc-2.1.1-r0.apk
2023-06-15 13:47
13K
mint-themes-2.1.1-r0.apk
2023-06-15 13:47
2.2K
minisatip-openrc-1.3.4-r0.apk
2024-03-15 07:19
1.9K
minisatip-1.3.4-r0.apk
2024-03-15 07:19
310K
minimodem-doc-0.24-r1.apk
2022-10-25 01:23
5.2K
minimodem-0.24-r1.apk
2022-10-25 01:23
19K
minidyndns-openrc-1.3.0-r3.apk
2021-10-18 23:22
1.8K
minidyndns-doc-1.3.0-r3.apk
2021-10-18 23:22
5.1K
minidyndns-1.3.0-r3.apk
2021-10-18 23:22
12K
mimeo-pyc-2023-r1.apk
2024-04-16 01:59
42K
mimeo-2023-r1.apk
2024-04-16 01:59
21K
mimedefang-doc-3.4.1-r0.apk
2023-05-01 18:42
93K
mimedefang-3.4.1-r0.apk
2023-05-01 18:42
148K
mimalloc1-insecure-1.8.6-r0.apk
2024-05-20 02:03
49K
mimalloc1-dev-1.8.6-r0.apk
2024-05-20 02:03
386K
mimalloc1-debug-1.8.6-r0.apk
2024-05-20 02:03
178K
mimalloc1-1.8.6-r0.apk
2024-05-20 02:03
54K
milkytracker-doc-1.04.00-r2.apk
2024-03-23 14:16
50K
milkytracker-1.04.00-r2.apk
2024-03-23 14:16
921K
micropython-cross-1.22.1-r0.apk
2024-01-07 02:32
136K
micropython-1.22.1-r0.apk
2024-01-07 02:32
207K
metalang99-1.13.3-r0.apk
2023-05-16 14:28
54K
meson-tools-doc-0.1-r1.apk
2022-08-04 08:28
8.4K
meson-tools-0.1-r1.apk
2022-08-04 08:28
7.5K
merlin-vim-4.14-r0.apk
2024-03-23 21:42
28K
merlin-emacs-4.14-r0.apk
2024-03-23 21:42
29K
merlin-dev-4.14-r0.apk
2024-03-23 21:42
23M
merlin-4.14-r0.apk
2024-03-23 21:42
14M
mergerfs-doc-2.38.1-r0.apk
2024-01-22 14:52
39K
mergerfs-2.38.1-r0.apk
2024-01-22 14:52
252K
menumaker-0.99.14-r1.apk
2022-10-14 16:53
111K
memdump-doc-1.01-r1.apk
2022-10-25 01:23
3.1K
memdump-1.01-r1.apk
2022-10-25 01:23
5.2K
megazeux-doc-2.93-r0.apk
2024-01-19 02:35
444K
megazeux-2.93-r0.apk
2024-01-19 02:35
1.0M
megatools-doc-1.11.1.20230212-r1.apk
2023-03-20 18:04
52K
megatools-bash-completion-1.11.1.20230212-r1.apk
2023-03-20 18:04
4.1K
megatools-1.11.1.20230212-r1.apk
2023-03-20 18:04
60K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-04-13 19:04
7.3K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk
2024-04-13 19:04
10K
mediastreamer2-doc-5.3.38-r0.apk
2024-04-13 19:04
105K
mediastreamer2-dev-5.3.38-r0.apk
2024-04-13 19:04
112K
mediastreamer2-5.3.38-r0.apk
2024-04-13 19:04
315K
mediascanner2-0.115-r0.apk
2024-03-15 18:51
237K
mdp-doc-1.0.15-r1.apk
2022-10-25 01:23
3.7K
mdp-1.0.15-r1.apk
2022-10-25 01:23
15K
mdnsd-static-0.12-r1.apk
2023-05-15 18:03
15K
mdnsd-openrc-0.12-r1.apk
2023-05-15 18:03
2.1K
mdnsd-libs-0.12-r1.apk
2023-05-15 18:03
15K
mdnsd-doc-0.12-r1.apk
2023-05-15 18:03
14K
mdnsd-0.12-r1.apk
2023-05-15 18:03
21K
mdbook-mermaid-0.13.0-r0.apk
2024-06-09 00:35
1.6M
mdbook-katex-0.8.1-r0.apk
2024-05-20 09:58
536K
md5ha1-0_git20171202-r1.apk
2022-10-25 01:23
8.9K
mcqd-dev-1.0.0-r1.apk
2023-08-01 16:54
4.0K
mcqd-1.0.0-r1.apk
2023-08-01 16:54
11K
mcman-doc-0.4.4-r0.apk
2024-02-09 02:21
14K
mcman-0.4.4-r0.apk
2024-02-09 02:21
2.5M
mcjoin-doc-2.11-r0.apk
2022-09-12 09:21
54K
mcjoin-2.11-r0.apk
2022-09-12 09:21
21K
mbrola-3.3-r0.apk
2022-08-16 03:57
18K
maxima-emacs-5.47.0-r7.apk
2024-04-19 08:07
111K
maxima-doc-extra-5.47.0-r7.apk
2024-04-19 08:07
10M
maxima-doc-5.47.0-r7.apk
2024-04-19 08:07
761K
maxima-bash-completion-5.47.0-r7.apk
2024-04-19 08:07
2.4K
maxima-5.47.0-r7.apk
2024-04-19 08:07
21M
mautrix-slack-openrc-0_git20230925-r4.apk
2024-05-19 02:22
2.0K
mautrix-slack-doc-0_git20230925-r4.apk
2024-05-19 02:22
13K
mautrix-slack-0_git20230925-r4.apk
2024-05-19 02:22
5.4M
mautrix-meta-openrc-0.3.1-r1.apk
2024-05-19 02:22
2.0K
mautrix-meta-doc-0.3.1-r1.apk
2024-05-19 02:22
13K
mautrix-meta-0.3.1-r1.apk
2024-05-19 02:22
7.4M
mautrix-gmessages-openrc-0.4.1-r1.apk
2024-05-19 02:22
2.0K
mautrix-gmessages-doc-0.4.1-r1.apk
2024-05-19 02:22
13K
mautrix-gmessages-0.4.1-r1.apk
2024-05-19 02:22
6.3M
mautrix-discord-openrc-0.6.5-r4.apk
2024-05-19 02:22
2.0K
mautrix-discord-doc-0.6.5-r4.apk
2024-05-19 02:22
13K
mautrix-discord-0.6.5-r4.apk
2024-05-19 02:22
6.0M
materia-light-kde-yakuake-20220823-r0.apk
2023-03-19 23:39
21K
materia-light-kde-plasma-20220823-r0.apk
2023-03-19 23:39
20K
materia-light-kde-kvantum-20220823-r0.apk
2023-03-19 23:39
30K
materia-light-compact-kde-kvantum-20220823-r0.apk
2023-03-19 23:39
1.5K
materia-kde-plasma-20220823-r0.apk
2023-03-19 23:39
1.7M
materia-kde-kvantum-20220823-r0.apk
2023-03-19 23:39
30K
materia-kde-konsole-20220823-r0.apk
2023-03-19 23:39
1.8K
materia-kde-20220823-r0.apk
2023-03-19 23:39
19K
materia-gtk3-20210322-r1.apk
2022-10-28 19:16
65K
materia-gtk2-20210322-r1.apk
2022-10-28 19:16
38K
materia-gtk-theme-20210322-r1.apk
2022-10-28 19:16
151K
materia-gnome-shell-20210322-r1.apk
2022-10-28 19:16
32K
materia-dark-kde-yakuake-20220823-r0.apk
2023-03-19 23:39
22K
materia-dark-kde-plasma-20220823-r0.apk
2023-03-19 23:39
503K
materia-dark-kde-kvantum-20220823-r0.apk
2023-03-19 23:39
30K
materia-dark-kde-konsole-20220823-r0.apk
2023-03-19 23:39
1.8K
materia-dark-gtk3-20210322-r1.apk
2022-10-28 19:16
41K
materia-dark-gtk2-20210322-r1.apk
2022-10-28 19:16
38K
materia-dark-gnome-shell-20210322-r1.apk
2022-10-28 19:16
32K
materia-dark-compact-kde-kvantum-20220823-r0.apk
2023-03-19 23:39
1.5K
materia-dark-compact-gtk3-20210322-r1.apk
2022-10-28 19:16
41K
materia-dark-compact-gtk2-20210322-r1.apk
2022-10-28 19:16
38K
materia-dark-compact-gnome-shell-20210322-r1.apk
2022-10-28 19:16
32K
materia-dark-compact-chromium-20210322-r1.apk
2022-10-28 19:16
5.7K
materia-dark-compact-20210322-r1.apk
2022-10-28 19:16
1.7K
materia-dark-chromium-20210322-r1.apk
2022-10-28 19:16
5.7K
materia-dark-20210322-r1.apk
2022-10-28 19:16
1.7K
materia-compact-gtk3-20210322-r1.apk
2022-10-28 19:16
65K
materia-compact-gtk2-20210322-r1.apk
2022-10-28 19:16
38K
materia-compact-gnome-shell-20210322-r1.apk
2022-10-28 19:16
32K
materia-compact-chromium-20210322-r1.apk
2022-10-28 19:16
5.7K
materia-compact-20210322-r1.apk
2022-10-28 19:16
1.7K
materia-chromium-20210322-r1.apk
2022-10-28 19:16
5.7K
materia-20210322-r1.apk
2022-10-28 19:16
1.7K
mat2-pyc-0.13.4-r1.apk
2023-10-19 18:10
57K
mat2-doc-0.13.4-r1.apk
2023-10-19 18:10
7.7K
mat2-0.13.4-r1.apk
2023-10-19 18:10
32K
masky-pyc-0.2.0-r1.apk
2024-04-16 01:59
64K
masky-0.2.0-r1.apk
2024-04-16 01:59
278K
marxan-4.0.7-r1.apk
2022-10-25 01:23
476K
mapserver-dev-8.0.1-r4.apk
2024-05-19 02:22
539K
mapserver-8.0.1-r4.apk
2024-05-19 02:22
1.2M
mapnik-doc-3.1.0-r26.apk
2024-05-19 02:22
135K
mapnik-dev-3.1.0-r26.apk
2024-05-19 02:22
471K
mapnik-3.1.0-r26.apk
2024-05-19 02:22
9.9M
manifest-tool-2.1.6-r3.apk
2024-05-19 02:22
3.8M
mangr0ve-doc-0.1.2-r0.apk
2024-01-29 03:19
14K
mangr0ve-0.1.2-r0.apk
2024-01-29 03:19
2.8K
mangal-zsh-completion-4.0.6-r11.apk
2024-05-19 02:22
4.0K
mangal-fish-completion-4.0.6-r11.apk
2024-05-19 02:22
3.9K
mangal-bash-completion-4.0.6-r11.apk
2024-05-19 02:22
5.0K
mangal-4.0.6-r11.apk
2024-05-19 02:22
9.7M
mame-tools-0.251-r0.apk
2023-02-22 12:34
2.2M
mame-plugins-0.251-r0.apk
2023-02-22 12:34
166K
mame-mess-0.251-r0.apk
2023-02-22 12:34
44M
mame-lang-0.251-r0.apk
2023-02-22 12:34
1.4M
mame-doc-0.251-r0.apk
2023-02-22 12:34
24K
mame-data-0.251-r0.apk
2023-02-22 12:34
19M
mame-common-0.251-r0.apk
2023-02-22 12:34
2.7K
mame-arcade-0.251-r0.apk
2023-02-22 12:34
57M
mame-0.251-r0.apk
2023-02-22 12:34
83M
makeself-2.5.0-r0.apk
2023-06-15 13:47
13K
makeclapman-doc-2.4.1-r1.apk
2024-05-19 02:22
4.1K
makeclapman-2.4.1-r1.apk
2024-05-19 02:22
1.2M
mailutils-servers-3.17-r0.apk
2024-01-19 18:54
69K
mailutils-mh-3.17-r0.apk
2024-01-19 18:54
1.2M
mailutils-libs-3.17-r0.apk
2024-01-19 18:54
446K
mailutils-doc-3.17-r0.apk
2024-01-19 18:54
159K
mailutils-dev-3.17-r0.apk
2024-01-19 18:54
2.8M
mailutils-3.17-r0.apk
2024-01-19 18:54
186K
mailtutan-0.3.0-r0.apk
2023-10-02 11:13
1.1M
mailsec-check-0_git20210729-r19.apk
2024-05-19 02:22
2.2M
maildir2rss-0.0.2-r5.apk
2024-05-19 02:22
3.0M
mage-1.13.0-r16.apk
2024-05-19 02:22
1.4M
maddy-vim-0.7.1-r3.apk
2024-05-19 02:22
3.6K
maddy-openrc-0.7.1-r3.apk
2024-05-19 02:22
2.0K
maddy-doc-0.7.1-r3.apk
2024-05-19 02:22
2.4K
maddy-0.7.1-r3.apk
2024-05-19 02:22
9.0M
ma1sd-openrc-2.5.0-r3.apk
2024-05-07 22:13
2.0K
ma1sd-2.5.0-r3.apk
2024-05-07 22:13
38M
m17n-lib-tools-1.8.4-r1.apk
2023-09-19 23:47
34K
m17n-lib-dev-1.8.4-r1.apk
2023-09-19 23:47
39K
m17n-lib-1.8.4-r1.apk
2023-09-19 23:47
140K
m17n-db-lang-1.8.5-r0.apk
2023-11-23 22:18
11K
m17n-db-dev-1.8.5-r0.apk
2023-11-23 22:18
1.7K
m17n-db-1.8.5-r0.apk
2023-11-23 22:18
2.5M
m2r2-pyc-0.3.3-r2.apk
2024-04-16 01:59
16K
m2r2-0.3.3-r2.apk
2024-04-16 01:59
12K
lzfse-dev-1.0-r0.apk
2022-09-17 11:38
3.4K
lzfse-1.0-r0.apk
2022-09-17 11:38
19K
lyrebird-0.2.0-r0.apk
2024-05-19 02:22
3.0M
lynis-doc-3.1.1-r0.apk
2024-03-18 00:53
49K
lynis-bash-completion-3.1.1-r0.apk
2024-03-18 00:53
3.0K
lynis-3.1.1-r0.apk
2024-03-18 00:53
271K
lychee-doc-0.15.1-r0.apk
2024-04-30 00:05
11K
lychee-0.15.1-r0.apk
2024-04-30 00:05
4.7M
lxd-feature-scripts-5.20-r3.apk
2024-05-19 02:22
2.1K
lxd-feature-openrc-5.20-r3.apk
2024-05-19 02:22
2.4K
lxd-feature-doc-5.20-r3.apk
2024-05-19 02:22
1.7K
lxd-feature-bash-completion-5.20-r3.apk
2024-05-19 02:22
5.1K
lxd-feature-5.20-r3.apk
2024-05-19 02:22
65M
lxappearance-lang-0.6.3-r3.apk
2023-05-29 07:04
80K
lxappearance-doc-0.6.3-r3.apk
2023-05-29 07:04
2.6K
lxappearance-dev-0.6.3-r3.apk
2023-05-29 07:04
3.2K
lxappearance-0.6.3-r3.apk
2023-05-29 07:04
28K
lutgen-zsh-completion-0.10.1-r0.apk
2024-06-02 16:54
8.5K
lutgen-fish-completion-0.10.1-r0.apk
2024-06-02 16:54
7.5K
lutgen-bash-completion-0.10.1-r0.apk
2024-06-02 16:54
7.2K
lutgen-0.10.1-r0.apk
2024-06-02 16:54
1.5M
lumins-0.4.0-r2.apk
2023-05-24 07:50
589K
lumina-desktop-textedit-1.6.2-r0.apk
2022-07-05 21:10
175K
lumina-desktop-sudo-1.6.2-r0.apk
2022-07-05 21:10
86K
lumina-desktop-screenshot-1.6.2-r0.apk
2022-07-05 21:10
149K
lumina-desktop-photo-1.6.2-r0.apk
2022-07-05 21:10
113K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2022-07-05 21:10
185K
lumina-desktop-fm-1.6.2-r0.apk
2022-07-05 21:10
360K
lumina-desktop-fileinfo-1.6.2-r0.apk
2022-07-05 21:10
145K
lumina-desktop-doc-1.6.2-r0.apk
2022-07-05 21:10
12K
lumina-desktop-coreutils-1.6.2-r0.apk
2022-07-05 21:10
764K
lumina-desktop-core-1.6.2-r0.apk
2022-07-05 21:10
8.8M
lumina-desktop-archiver-1.6.2-r0.apk
2022-07-05 21:10
152K
lumina-desktop-1.6.2-r0.apk
2022-07-05 21:10
1.2K
luksmeta-doc-9-r0.apk
2022-06-17 13:45
5.5K
luksmeta-dev-9-r0.apk
2022-06-17 13:45
3.1K
luksmeta-9-r0.apk
2022-06-17 13:45
12K
luapak-0.1.0_beta5-r0.apk
2020-08-06 03:53
35K
luacov-html-1.0.0-r1.apk
2022-06-02 17:15
1.2K
luacov-0.15.0-r0.apk
2023-06-15 13:47
1.5K
lua5.4-luastatic-0.0.12-r1.apk
2022-10-25 01:23
8.8K
lua5.4-luacov-0.15.0-r0.apk
2023-06-15 13:47
23K
lua5.4-linenoise-0.9-r1.apk
2021-01-18 12:59
15K
lua5.4-lanes-3.16.0-r1.apk
2024-04-04 13:35
56K
lua5.4-editorconfig-0.3.0-r0.apk
2021-04-17 00:52
4.1K
lua5.3-psl-0.3-r0.apk
2020-07-23 01:59
5.2K
lua5.3-luastatic-0.0.12-r1.apk
2022-10-25 01:23
8.7K
lua5.3-luacov-html-1.0.0-r1.apk
2022-06-02 17:15
413K
lua5.3-luacov-0.15.0-r0.apk
2023-06-15 13:47
23K
lua5.3-linenoise-0.9-r1.apk
2021-01-18 12:59
15K
lua5.3-lanes-3.16.0-r1.apk
2024-04-04 13:35
56K
lua5.3-editorconfig-0.3.0-r0.apk
2021-04-17 00:52
4.0K
lua5.3-apk3-3.0.0_pre2_git20240401-r1.apk
2024-05-20 01:22
5.1K
lua5.2-xml-1.1.3-r1.apk
2020-07-23 01:59
21K
lua5.2-psl-0.3-r0.apk
2020-07-23 01:59
5.1K
lua5.2-penlight-doc-1.3.0-r1.apk
2022-07-26 08:46
65K
lua5.2-penlight-1.3.0-r1.apk
2022-07-26 08:46
99K
lua5.2-luastatic-0.0.12-r1.apk
2022-10-25 01:23
8.7K
lua5.2-luacov-html-1.0.0-r1.apk
2022-06-02 17:15
413K
lua5.2-luacov-0.15.0-r0.apk
2023-06-15 13:47
23K
lua5.2-linenoise-0.9-r1.apk
2021-01-18 12:59
15K
lua5.2-libmodbus-0.6.1-r0.apk
2020-07-23 01:59
8.6K
lua5.2-lanes-3.16.0-r1.apk
2024-04-04 13:35
55K
lua5.2-editorconfig-0.3.0-r0.apk
2021-04-17 00:52
4.0K
lua5.1-xml-1.1.3-r1.apk
2020-07-23 01:59
21K
lua5.1-psl-0.3-r0.apk
2020-07-23 01:59
5.2K
lua5.1-luastatic-0.0.12-r1.apk
2022-10-25 01:23
62K
lua5.1-luacov-html-1.0.0-r1.apk
2022-06-02 17:15
413K
lua5.1-luacov-0.15.0-r0.apk
2023-06-15 13:47
23K
lua5.1-linenoise-0.9-r1.apk
2021-01-18 12:59
15K
lua5.1-libmodbus-0.6.1-r0.apk
2020-07-23 01:59
8.6K
lua5.1-libguestfs-1.52.0-r1.apk
2024-04-16 01:59
75K
lua5.1-lcurses-9.0.0-r0.apk
2020-07-23 01:59
21K
lua5.1-lanes-3.16.0-r1.apk
2024-04-04 13:35
55K
lua-xml-1.1.3-r1.apk
2020-07-23 01:59
1.2K
lua-resty-upload-0.11-r0.apk
2023-03-17 08:14
3.6K
lua-resty-redis-0.29-r0.apk
2023-02-16 21:58
5.3K
lua-psl-0.3-r0.apk
2020-07-23 01:59
1.1K
lua-lut-1.2.1-r0.apk
2020-07-23 01:59
89K
lua-lupa-1.0-r0.apk
2022-01-14 10:20
20K
lua-luastatic-0.0.12-r1.apk
2022-10-25 01:23
1.5K
lua-linenoise-0.9-r1.apk
2021-01-18 12:59
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2020-07-23 01:59
19K
lua-libmodbus-0.6.1-r0.apk
2020-07-23 01:59
1.2K
lua-lcurses-9.0.0-r0.apk
2020-07-23 01:59
1.2K
lua-language-server-doc-3.9.1-r0.apk
2024-05-16 19:54
2.3K
lua-language-server-3.9.1-r0.apk
2024-05-16 19:54
3.4M
lua-lanes-3.16.0-r1.apk
2024-04-04 13:35
1.4K
lua-inet-0.2.0-r0.apk
2022-01-14 10:20
8.9K
lua-fn-0.1.0-r0.apk
2022-08-15 17:13
3.4K
lua-editorconfig-0.3.0-r0.apk
2021-04-17 00:52
1.2K
lsmash-dev-2.14.5-r2.apk
2022-10-25 01:23
326K
lsmash-2.14.5-r2.apk
2022-10-25 01:23
251K
lsix-1.8.2-r0.apk
2023-12-23 01:51
6.5K
lsip6-pyc-0.2.0-r1.apk
2024-04-16 01:59
5.1K
lsip6-0.2.0-r1.apk
2024-04-16 01:59
5.5K
lshell-pyc-0.9.18-r11.apk
2024-04-16 01:59
35K
lshell-doc-0.9.18-r11.apk
2024-04-16 01:59
25K
lshell-0.9.18-r11.apk
2024-04-16 01:59
36K
lsdvd-doc-0.17-r0.apk
2023-01-31 21:08
2.5K
lsdvd-0.17-r0.apk
2023-01-31 21:08
12K
lsd-zsh-completion-1.1.1-r0.apk
2024-03-26 00:21
3.4K
lsd-fish-completion-1.1.1-r0.apk
2024-03-26 00:21
3.1K
lsd-bash-completion-1.1.1-r0.apk
2024-03-26 00:21
2.5K
lsd-1.1.1-r0.apk
2024-03-26 00:21
1.0M
lrzsz-doc-0.12.20-r2.apk
2022-10-25 01:23
15K
lrzsz-0.12.20-r2.apk
2022-10-25 01:23
65K
lrcalc-libs-2.1-r1.apk
2023-05-15 18:03
21K
lrcalc-dev-2.1-r1.apk
2023-05-15 18:03
11K
lrcalc-2.1-r1.apk
2023-05-15 18:03
10K
lowjs-doc-1.6.2-r2.apk
2024-04-13 19:04
3.0K
lowjs-1.6.2-r2.apk
2024-04-13 19:04
1.3M
lout-doc-3.42.2-r0.apk
2023-06-15 13:47
453K
lout-3.42.2-r0.apk
2023-06-15 13:47
1.4M
lottieconverter-doc-0.2_git20231219-r0.apk
2023-12-20 00:17
2.4K
lottieconverter-0.2_git20231219-r0.apk
2023-12-20 00:17
10K
lotide-openrc-0.15.0-r0.apk
2024-03-29 02:13
3.1K
lotide-0.15.0-r0.apk
2024-03-29 02:13
3.2M
lomiri-weather-app-lang-5.13.5-r0.apk
2024-03-15 18:51
288K
lomiri-weather-app-5.13.5-r0.apk
2024-03-15 18:51
235K
lomiri-url-dispatcher-lang-0.1.3-r1.apk
2024-04-16 01:59
21K
lomiri-url-dispatcher-dev-0.1.3-r1.apk
2024-04-16 01:59
3.4K
lomiri-url-dispatcher-0.1.3-r1.apk
2024-04-16 01:59
34K
lomiri-ui-toolkit-lang-1.3.5100-r0.apk
2024-03-23 08:35
99K
lomiri-ui-toolkit-dev-1.3.5100-r0.apk
2024-03-23 08:35
173K
lomiri-ui-toolkit-1.3.5100-r0.apk
2024-03-23 08:35
1.2M
lomiri-ui-extras-lang-0.6.3-r0.apk
2024-02-06 22:54
55K
lomiri-ui-extras-0.6.3-r0.apk
2024-02-06 22:54
233K
lomiri-trust-store-lang-2.0.2-r1.apk
2024-05-19 11:53
32K
lomiri-trust-store-dev-2.0.2-r1.apk
2024-05-19 11:53
9.5K
lomiri-trust-store-2.0.2-r1.apk
2024-05-19 11:53
850K
lomiri-thumbnailer-doc-3.0.3-r1.apk
2024-04-22 19:49
1.5K
lomiri-thumbnailer-dev-3.0.3-r1.apk
2024-04-22 19:49
5.2K
lomiri-thumbnailer-3.0.3-r1.apk
2024-04-22 19:49
192K
lomiri-terminal-app-lang-2.0.2-r0.apk
2024-02-07 13:43
64K
lomiri-terminal-app-doc-2.0.2-r0.apk
2024-02-07 13:43
2.6K
lomiri-terminal-app-2.0.2-r0.apk
2024-02-07 13:43
63K
lomiri-telephony-service-lang-0.5.3-r0.apk
2024-02-06 22:54
99K
lomiri-telephony-service-0.5.3-r0.apk
2024-02-06 22:54
889K
lomiri-system-settings-lang-1.1.0-r0.apk
2024-03-15 23:58
549K
lomiri-system-settings-1.1.0-r0.apk
2024-03-15 23:58
934K
lomiri-sounds-22.02-r0.apk
2024-03-15 18:51
18M
lomiri-settings-components-lang-1.1.1-r0.apk
2024-02-06 22:54
100K
lomiri-settings-components-1.1.1-r0.apk
2024-02-06 22:54
218K
lomiri-schemas-0.1.4-r1.apk
2024-03-26 01:03
11K
lomiri-notifications-1.3.0-r0.apk
2023-12-17 23:08
84K
lomiri-location-service-lang-3.1.0-r1.apk
2024-05-19 11:53
24K
lomiri-location-service-doc-3.1.0-r1.apk
2024-05-19 11:53
2.9K
lomiri-location-service-dev-3.1.0-r1.apk
2024-05-19 11:53
32K
lomiri-location-service-3.1.0-r1.apk
2024-05-19 11:53
1.9M
lomiri-libusermetrics-lang-1.3.2-r0.apk
2024-02-06 22:54
46K
lomiri-libusermetrics-doc-1.3.2-r0.apk
2024-02-06 22:54
224K
lomiri-libusermetrics-dev-1.3.2-r0.apk
2024-02-06 22:54
8.1K
lomiri-libusermetrics-1.3.2-r0.apk
2024-02-06 22:54
141K
lomiri-lang-0.2.1-r1.apk
2024-03-26 01:03
249K
lomiri-indicator-network-lang-1.0.2-r0.apk
2024-02-06 22:54
168K
lomiri-indicator-network-doc-1.0.2-r0.apk
2024-02-06 22:54
2.0K
lomiri-indicator-network-dev-1.0.2-r0.apk
2024-02-06 22:54
9.9K
lomiri-indicator-network-1.0.2-r0.apk
2024-02-06 22:54
534K
lomiri-indicator-location-lang-0_git20231227-r0.apk
2024-03-15 18:50
33K
lomiri-indicator-location-0_git20231227-r0.apk
2024-03-15 18:50
26K
lomiri-history-service-dev-0.5-r0.apk
2024-06-02 16:54
12K
lomiri-history-service-0.5-r0.apk
2024-06-02 16:54
310K
lomiri-gallery-app-lang-3.0.2-r0.apk
2024-03-11 07:24
109K
lomiri-gallery-app-3.0.2-r0.apk
2024-03-11 07:24
3.7M
lomiri-filemanager-app-lang-1.0.4-r0.apk
2024-03-15 18:50
175K
lomiri-filemanager-app-1.0.4-r0.apk
2024-03-15 18:50
308K
lomiri-download-manager-lang-0.1.3-r1.apk
2024-04-22 19:49
31K
lomiri-download-manager-doc-0.1.3-r1.apk
2024-04-22 19:49
740K
lomiri-download-manager-dev-0.1.3-r1.apk
2024-04-22 19:49
18K
lomiri-download-manager-0.1.3-r1.apk
2024-04-22 19:49
488K
lomiri-docviewer-app-lang-3.0.4-r0.apk
2024-03-15 18:50
119K
lomiri-docviewer-app-doc-3.0.4-r0.apk
2024-03-15 18:50
2.0K
lomiri-docviewer-app-3.0.4-r0.apk
2024-03-15 18:50
209K
lomiri-content-hub-lang-1.1.1-r0.apk
2024-02-10 14:52
42K
lomiri-content-hub-doc-1.1.1-r0.apk
2024-02-10 14:52
894K
lomiri-content-hub-dev-1.1.1-r0.apk
2024-02-10 14:52
11K
lomiri-content-hub-1.1.1-r0.apk
2024-02-10 14:52
250K
lomiri-clock-app-lang-4.0.3-r0.apk
2023-12-17 23:08
399K
lomiri-clock-app-4.0.3-r0.apk
2023-12-17 23:08
212K
lomiri-calculator-app-lang-4.0.2-r0.apk
2024-03-15 18:50
37K
lomiri-calculator-app-4.0.2-r0.apk
2024-03-15 18:50
375K
lomiri-app-launch-dev-0.1.9-r2.apk
2024-04-27 00:28
20K
lomiri-app-launch-0.1.9-r2.apk
2024-04-27 00:28
290K
lomiri-api-dev-0.2.1-r0.apk
2023-11-04 17:55
33K
lomiri-api-0.2.1-r0.apk
2023-11-04 17:55
30K
lomiri-action-api-dev-1.1.3-r0.apk
2024-02-06 22:54
5.4K
lomiri-action-api-1.1.3-r0.apk
2024-02-06 22:54
70K
lomiri-0.2.1-r1.apk
2024-03-26 01:03
3.8M
lolcat-1.4-r0.apk
2023-06-15 13:47
9.3K
lol-html-dev-1.1.1-r0.apk
2023-11-04 14:07
6.5K
lol-html-1.1.1-r0.apk
2023-11-04 14:07
409K
logwatch-doc-7.10-r1.apk
2024-05-05 17:28
38K
logwatch-7.10-r1.apk
2024-05-05 17:28
483K
logc-libs-dev-0.1.0-r0.apk
2023-11-18 18:32
5.2K
logc-libs-0.1.0-r0.apk
2023-11-18 18:32
1.4K
logc-libevent-0.1.0-r0.apk
2023-11-18 18:32
3.2K
logc-dev-0.5.0-r0.apk
2023-11-18 18:32
8.9K
logc-czmq-0.1.0-r0.apk
2023-11-18 18:32
3.8K
logc-config-0.5.0-r0.apk
2023-11-18 18:32
4.7K
logc-argp-0.5.0-r0.apk
2023-11-18 18:32
14K
logc-0.5.0-r0.apk
2023-11-18 18:32
6.8K
log4cxx-dev-1.1.0-r1.apk
2023-09-16 12:06
142K
log4cxx-1.1.0-r1.apk
2023-09-16 12:06
454K
log4cpp-dev-1.1.4-r1.apk
2023-05-15 18:03
39K
log4cpp-1.1.4-r1.apk
2023-05-15 18:03
65K
lockrun-1.1.3-r1.apk
2022-10-25 01:23
5.1K
llmnrd-openrc-0.7-r1.apk
2022-10-25 01:23
1.9K
llmnrd-doc-0.7-r1.apk
2022-10-25 01:23
3.0K
llmnrd-0.7-r1.apk
2022-10-25 01:23
16K
lkrg-doc-0.9.6-r0.apk
2023-08-09 12:57
22K
lkrg-0.9.6-r0.apk
2023-08-09 12:57
110K
lizardfs-metalogger-openrc-3.13.0-r13.apk
2024-04-22 19:49
1.6K
lizardfs-metalogger-3.13.0-r13.apk
2024-04-22 19:49
150K
lizardfs-master-openrc-3.13.0-r13.apk
2024-04-22 19:49
1.6K
lizardfs-master-3.13.0-r13.apk
2024-04-22 19:49
851K
lizardfs-doc-3.13.0-r13.apk
2024-04-22 19:49
12K
lizardfs-client-3.13.0-r13.apk
2024-04-22 19:49
1.1M
lizardfs-chunkserver-openrc-3.13.0-r13.apk
2024-04-22 19:49
1.6K
lizardfs-chunkserver-3.13.0-r13.apk
2024-04-22 19:49
333K
lizardfs-cgiserv-openrc-3.13.0-r13.apk
2024-04-22 19:49
2.0K
lizardfs-cgiserv-3.13.0-r13.apk
2024-04-22 19:49
7.4K
lizardfs-cgi-3.13.0-r13.apk
2024-04-22 19:49
32K
lizardfs-bash-completion-3.13.0-r13.apk
2024-04-22 19:49
1.9K
lizardfs-3.13.0-r13.apk
2024-04-22 19:49
131K
litterbox-doc-1.9-r1.apk
2023-04-08 04:33
7.2K
litterbox-1.9-r1.apk
2023-04-08 04:33
27K
litehtml-static-0.8-r2.apk
2023-08-01 16:54
439K
litehtml-dev-0.8-r2.apk
2023-08-01 16:54
40K
litehtml-0.8-r2.apk
2023-08-01 16:54
244K
listenbrainz-mpd-zsh-completion-2.3.7-r0.apk
2024-06-05 19:57
2.1K
listenbrainz-mpd-fish-completion-2.3.7-r0.apk
2024-06-05 19:57
1.8K
listenbrainz-mpd-doc-2.3.7-r0.apk
2024-06-05 19:57
14K
listenbrainz-mpd-bash-completion-2.3.7-r0.apk
2024-06-05 19:57
2.1K
listenbrainz-mpd-2.3.7-r0.apk
2024-06-05 19:57
1.1M
liquibase-doc-4.9.1-r0.apk
2022-04-11 13:44
57K
liquibase-4.9.1-r0.apk
2022-04-11 13:44
32M
lipstick-asteroidos-tools-2.0.0-r1.apk
2023-10-09 18:23
20K
lipstick-asteroidos-dev-2.0.0-r1.apk
2023-10-09 18:23
29K
lipstick-asteroidos-dbg-2.0.0-r1.apk
2023-10-09 18:23
14M
lipstick-asteroidos-2.0.0-r1.apk
2023-10-09 18:23
268K
linuxptp-tz2alt-4.2-r0.apk
2023-12-20 19:54
17K
linuxptp-ts2phc-4.2-r0.apk
2023-12-20 19:54
32K
linuxptp-timemaster-4.2-r0.apk
2023-12-20 19:54
14K
linuxptp-ptp4l-4.2-r0.apk
2023-12-20 19:54
68K
linuxptp-pmc-4.2-r0.apk
2023-12-20 19:54
31K
linuxptp-phc_ctl-4.2-r0.apk
2023-12-20 19:54
10K
linuxptp-phc2sys-4.2-r0.apk
2023-12-20 19:54
34K
linuxptp-nsm-4.2-r0.apk
2023-12-20 19:54
29K
linuxptp-hwstamp_ctl-4.2-r0.apk
2023-12-20 19:54
4.3K
linuxptp-doc-4.2-r0.apk
2023-12-20 19:54
33K
linuxptp-4.2-r0.apk
2023-12-20 19:54
1.4K
linux-timemachine-1.3.2-r0.apk
2022-11-24 22:24
5.1K
linux-apfs-rw-src-0.3.8-r0.apk
2024-03-14 23:55
197K
linphone-libs-5.3.38-r0.apk
2024-04-13 19:04
2.4M
linphone-dev-5.3.38-r0.apk
2024-04-13 19:04
250K
linphone-5.3.38-r0.apk
2024-04-13 19:04
9.0M
limnoria-pyc-20220927-r3.apk
2024-04-16 01:59
1.2M
limnoria-doc-20220927-r3.apk
2024-04-16 01:59
8.0K
limnoria-20220927-r3.apk
2024-04-16 01:59
1.0M
lidarr-openrc-2.3.3.4204-r0.apk
2024-05-17 14:50
2.1K
lidarr-2.3.3.4204-r0.apk
2024-05-17 14:50
16M
licenseheaders-pyc-0.8.8-r3.apk
2024-04-16 01:59
18K
licenseheaders-0.8.8-r3.apk
2024-04-16 01:59
18K
libzn_poly-static-0.9.2-r2.apk
2023-08-01 16:54
42K
libzn_poly-dev-0.9.2-r2.apk
2023-08-01 16:54
8.1K
libzn_poly-0.9.2-r2.apk
2023-08-01 16:54
40K
libxmp-dev-4.6.0-r0.apk
2023-07-02 19:37
8.7K
libxmp-4.6.0-r0.apk
2023-07-02 19:37
212K
libxml++-dev-5.0.3-r1.apk
2023-04-29 19:30
30K
libxml++-5.0.3-r1.apk
2023-04-29 19:30
52K
libwmiclient-dev-1.3.16-r4.apk
2022-10-25 01:23
1.7K
libwmiclient-1.3.16-r4.apk
2022-10-25 01:23
1.3M
libwhich-1.2.0-r0.apk
2022-11-26 02:29
4.5K
libwbxml-doc-0.11.8-r0.apk
2022-03-19 10:09
28K
libwbxml-dev-0.11.8-r0.apk
2022-03-19 10:09
9.0K
libwbxml-0.11.8-r0.apk
2022-03-19 10:09
58K
libvoikko-doc-4.3.2-r1.apk
2024-04-16 01:59
5.7K
libvoikko-dev-4.3.2-r1.apk
2024-04-16 01:59
9.9K
libvoikko-4.3.2-r1.apk
2024-04-16 01:59
108K
libvmime-dev-0.9.2.175-r0.apk
2024-04-26 08:37
21M
libvmime-dbg-0.9.2.175-r0.apk
2024-04-26 08:37
13M
libvmime-0.9.2.175-r0.apk
2024-04-26 08:37
599K
libvmaf-dev-3.0.0-r0.apk
2024-02-05 21:23
181K
libvmaf-3.0.0-r0.apk
2024-02-05 21:23
305K
libvisio2svg-utils-0.5.5-r3.apk
2023-04-29 19:30
101K
libvisio2svg-dev-0.5.5-r3.apk
2023-04-29 19:30
2.9K
libvisio2svg-0.5.5-r3.apk
2023-04-29 19:30
13K
libvdpau-va-gl-0.4.2-r0.apk
2020-07-23 01:59
47K
libusbguard-1.1.3-r0.apk
2024-06-08 12:13
270K
libupstart-2.0.3-r4.apk
2023-07-02 19:37
63K
libuninameslist-doc-20230916-r0.apk
2023-09-18 06:50
2.0K
libuninameslist-dev-20230916-r0.apk
2023-09-18 06:50
3.4K
libuninameslist-20230916-r0.apk
2023-09-18 06:50
425K
libui-dev-4.1_alpha20211213-r0.apk
2021-12-13 21:16
19K
libui-4.1_alpha20211213-r0.apk
2021-12-13 21:16
45K
libuecc-dev-7-r3.apk
2023-10-18 18:22
4.7K
libuecc-7-r3.apk
2023-10-18 18:22
8.4K
libucl-doc-0.9.0-r0.apk
2024-02-02 22:16
8.8K
libucl-dev-0.9.0-r0.apk
2024-02-02 22:16
71K
libucl-0.9.0-r0.apk
2024-02-02 22:16
46K
libtsm-dev-4.0.2-r0.apk
2022-10-05 02:06
9.5K
libtsm-4.0.2-r0.apk
2022-10-05 02:06
22K
libtommath-dev-1.2.1-r0.apk
2023-10-06 18:20
56K
libtommath-1.2.1-r0.apk
2023-10-06 18:20
32K
libtinycbor-0.6.0-r1.apk
2022-11-17 07:58
17K
libtins-doc-4.5-r1.apk
2024-04-22 19:49
2.3K
libtins-dev-4.5-r1.apk
2024-04-22 19:49
141K
libtins-4.5-r1.apk
2024-04-22 19:49
272K
libthai-doc-0.1.29-r0.apk
2022-02-05 17:42
118K
libthai-dev-0.1.29-r0.apk
2022-02-05 17:42
27K
libthai-0.1.29-r0.apk
2022-02-05 17:42
193K
libsymmetrica-static-3.0.1-r2.apk
2023-08-01 16:54
4.2M
libsymmetrica-dev-3.0.1-r2.apk
2023-08-01 16:54
32K
libsymmetrica-3.0.1-r2.apk
2023-08-01 16:54
3.8M
libstirshaken-tools-0_git20240208-r2.apk
2024-02-08 10:25
145K
libstirshaken-dev-0_git20240208-r2.apk
2024-02-08 10:25
78K
libstirshaken-0_git20240208-r2.apk
2024-02-08 10:25
49K
libssl1.1-1.1.1w-r0.apk
2023-09-12 13:01
173K
libspatialindex-dev-0_git20210205-r1.apk
2023-11-03 09:38
22K
libspatialindex-0_git20210205-r1.apk
2023-11-03 09:38
288K
libsirocco-dev-2.1.0-r2.apk
2023-08-01 16:54
1.9K
libsirocco-2.1.0-r2.apk
2023-08-01 16:54
54K
libsimplebluez-0.6.1-r1.apk
2023-07-29 21:36
113K
libsimpleble-c-0.6.1-r1.apk
2023-07-29 21:36
13K
libsimpleble-0.6.1-r1.apk
2023-07-29 21:36
156K
libsigrokdecode-dev-0.5.3-r4.apk
2024-04-15 17:00
35K
libsigrokdecode-0.5.3-r4.apk
2024-04-15 17:00
332K
libsigrok-dev-0.5.2-r2.apk
2023-05-15 18:03
31K
libsigrok-0.5.2-r2.apk
2023-05-15 18:03
435K
libserialport-dev-0.1.1-r1.apk
2022-02-06 10:44
37K
libserialport-0.1.1-r1.apk
2022-02-06 10:44
20K
libsemigroups-static-2.7.3-r0.apk
2024-01-22 07:40
1.3M
libsemigroups-dev-2.7.3-r0.apk
2024-01-22 07:40
338K
libsemigroups-2.7.3-r0.apk
2024-01-22 07:40
546K
libsemanage-doc-3.6-r0.apk
2023-12-28 05:20
23K
libsemanage-dev-3.6-r0.apk
2023-12-28 05:20
129K
libsemanage-3.6-r0.apk
2023-12-28 05:20
83K
libsds-dev-2.0.0-r1.apk
2020-12-15 04:24
3.8K
libsds-2.0.0-r1.apk
2020-12-15 04:24
8.4K
libsbsms-dev-2.3.0-r0.apk
2021-09-04 18:01
122K
libsbsms-2.3.0-r0.apk
2021-09-04 18:01
91K
librewolf-intl-126.0.1_p1-r0.apk
2024-06-02 16:54
1.5K
librewolf-dbg-126.0.1_p1-r0.apk
2024-06-02 16:54
9.4M
librewolf-126.0.1_p1-r0.apk
2024-06-02 16:54
74M
libretro-xrick-0_git20220331-r0.apk
2022-04-21 11:41
107K
libretro-tyrquake-0_git20220409-r0.apk
2022-04-21 11:41
339K
libretro-theodore-3.1-r0.apk
2022-04-19 23:09
866K
libretro-snes9x-0_git20220414-r0.apk
2022-04-21 11:41
591K
libretro-scummvm-0_git20210325-r0.apk
2021-05-07 03:50
16M
libretro-ppsspp-0_git20210516-r12.apk
2023-07-03 18:35
2.2M
libretro-pocketcdg-0_git20220327-r0.apk
2022-04-21 11:41
79K
libretro-picodrive-0_git20220405-r0.apk
2022-04-21 11:41
408K
libretro-parallel-n64-0_git20220406-r0.apk
2022-04-21 11:41
805K
libretro-opera-0_git20211214-r0.apk
2022-04-21 11:41
149K
libretro-openlara-0_git20210121-r0.apk
2022-04-21 11:41
420K
libretro-nxengine-0_git20220301-r0.apk
2022-04-21 11:41
249K
libretro-neocd-0_git20220325-r0.apk
2022-04-21 11:41
341K
libretro-mu-0_git20220317-r0.apk
2022-04-21 11:41
131K
libretro-mame2003-0_git20220206-r0.apk
2022-04-21 11:41
6.3M
libretro-mame2000-0_git20220413-r0.apk
2022-04-21 11:41
2.4M
libretro-gw-0_git20220410-r0.apk
2022-04-21 11:41
150K
libretro-gong-0_git20220319-r0.apk
2022-04-21 11:41
7.8K
libretro-gme-0_git20220410-r0.apk
2022-04-21 11:41
159K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2023-05-05 09:16
796K
libretro-fuse-0_git20220417-r0.apk
2022-04-21 11:41
771K
libretro-frodo-0_git20221221-r0.apk
2022-04-21 11:41
140K
libretro-freeintv-0_git20220319-r0.apk
2022-04-21 11:41
30K
libretro-fbneo-0_git20220416-r0.apk
2022-04-21 11:41
9.1M
libretro-dinothawr-0_git20220401-r0.apk
2022-04-21 11:41
109K
libretro-daphne-0_git20210108-r1.apk
2021-06-03 16:24
474K
libretro-crocods-0_git20210314-r1.apk
2021-06-03 16:24
250K
libretro-cap32-0_git20220419-r0.apk
2022-04-21 11:41
286K
libretro-cannonball-0_git20220309-r6.apk
2024-04-22 19:49
197K
libretro-bluemsx-0_git20220213-r0.apk
2022-04-21 11:41
561K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2022-04-21 11:41
318K
libretro-beetle-saturn-0_git20220417-r0.apk
2022-04-21 11:41
1.3M
libretro-beetle-pcfx-0_git20220409-r0.apk
2022-04-21 11:41
261K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2022-04-21 11:41
309K
libretro-atari800-0_git20220327-r0.apk
2022-04-21 11:41
224K
librespot-openrc-0.4.2-r4.apk
2023-08-01 06:56
1.9K
librespot-0.4.2-r4.apk
2023-08-01 06:56
1.2M
libreoffice-voikko-5.0_git20200127-r0.apk
2023-06-15 13:47
45K
libqtdbustest-0.3.2-r0.apk
2023-11-04 17:55
28K
libqtdbusmock-0.9.1-r0.apk
2024-01-28 13:11
62K
libqofono-qt6-0.122-r0.apk
2023-12-24 16:57
350K
libqofono-qt5-0.122-r0.apk
2023-12-24 16:57
263K
libqofono-dev-0.122-r0.apk
2023-12-24 16:57
47K
libqofono-0.122-r0.apk
2023-12-24 16:57
1.4K
libqd-static-2.3.24-r0.apk
2024-01-08 23:58
230K
libqd-doc-2.3.24-r0.apk
2024-01-08 23:58
182K
libqd-dev-2.3.24-r0.apk
2024-01-08 23:58
58K
libqd-2.3.24-r0.apk
2024-01-08 23:58
142K
libqb-tools-2.0.8-r0.apk
2023-08-03 18:08
3.2K
libqb-doc-2.0.8-r0.apk
2023-08-03 18:08
156K
libqb-dev-2.0.8-r0.apk
2023-08-03 18:08
29K
libqb-2.0.8-r0.apk
2023-08-03 18:08
55K
libppl_c-1.2-r1.apk
2023-05-15 18:03
901K
libppl-1.2-r1.apk
2023-05-15 18:03
422K
libopensmtpd-doc-0.7-r0.apk
2022-02-19 02:19
5.0K
libopensmtpd-dev-0.7-r0.apk
2022-02-19 02:19
3.1K
libopensmtpd-0.7-r0.apk
2022-02-19 02:19
16K
libopensles-standalone-dev-0_git20240221-r0.apk
2024-04-29 10:07
1.5K
libopensles-standalone-dbg-0_git20240221-r0.apk
2024-04-29 10:07
242K
libopensles-standalone-0_git20240221-r0.apk
2024-04-29 10:07
34K
liboggz-doc-1.1.1-r2.apk
2022-10-25 01:23
134K
liboggz-dev-1.1.1-r2.apk
2022-10-25 01:23
154K
liboggz-1.1.1-r2.apk
2022-10-25 01:23
111K
libofx-tools-0.10.9-r1.apk
2023-08-26 13:16
101K
libofx-dev-0.10.9-r1.apk
2023-08-26 13:16
20K
libofx-0.10.9-r1.apk
2023-08-26 13:16
59K
libnxml-dev-0.18.3-r0.apk
2020-07-23 01:59
26K
libnxml-0.18.3-r0.apk
2020-07-23 01:59
17K
libntl-static-11.5.1-r3.apk
2023-08-01 16:54
1.4M
libntl-doc-11.5.1-r3.apk
2023-08-01 16:54
374K
libntl-dev-11.5.1-r3.apk
2023-08-01 16:54
159K
libntl-11.5.1-r3.apk
2023-08-01 16:54
945K
libnfc-tools-1.8.0-r1.apk
2023-05-15 18:03
56K
libnfc-doc-1.8.0-r1.apk
2023-05-15 18:03
22K
libnfc-dev-1.8.0-r1.apk
2023-05-15 18:03
7.9K
libnfc-1.8.0-r1.apk
2023-05-15 18:03
51K
libnest2d-dev-0.4-r6.apk
2024-04-22 19:49
71K
libnest2d-0.4-r6.apk
2024-04-22 19:49
1.4K
libmysofa-tools-1.3.2-r0.apk
2023-11-03 23:46
1.1M
libmysofa-dev-1.3.2-r0.apk
2023-11-03 23:46
7.0K
libmysofa-1.3.2-r0.apk
2023-11-03 23:46
23K
libmygpo-qt-dev-1.1.0-r2.apk
2024-05-08 15:01
12K
libmygpo-qt-1.1.0-r2.apk
2024-05-08 15:01
66K
libmustache-0.5.0-r1.apk
2022-10-25 01:23
76K
libmrss-dev-0.19.2-r1.apk
2021-07-18 11:15
28K
libmrss-0.19.2-r1.apk
2021-07-18 11:15
17K
libmpfi-static-1.5.4-r2.apk
2023-08-01 16:54
40K
libmpfi-doc-1.5.4-r2.apk
2023-08-01 16:54
19K
libmpfi-dev-1.5.4-r2.apk
2023-08-01 16:54
5.4K
libmpfi-1.5.4-r2.apk
2023-08-01 16:54
27K
libmhash-doc-0.9.9.9-r3.apk
2022-10-14 16:53
8.1K
libmhash-dev-0.9.9.9-r3.apk
2022-10-14 16:53
110K
libmhash-0.9.9.9-r3.apk
2022-10-14 16:53
97K
libmedc-python-pyc-4.1.1-r3.apk
2024-05-04 15:29
475K
libmedc-python-4.1.1-r3.apk
2024-05-04 15:29
1.7M
libmedc-doc-4.1.1-r3.apk
2024-05-04 15:29
41M
libmedc-dev-4.1.1-r3.apk
2024-05-04 15:29
38K
libmedc-4.1.1-r3.apk
2024-05-04 15:29
603K
libmdf-dev-1.0.27-r0.apk
2023-05-27 11:51
14K
libmdf-1.0.27-r0.apk
2023-05-27 11:51
29K
libmdbx-doc-0.11.8-r0.apk
2022-07-02 06:10
8.9K
libmdbx-dev-0.11.8-r0.apk
2022-07-02 06:10
93K
libmdbx-dbg-0.11.8-r0.apk
2022-07-02 06:10
2.3M
libmdbx-0.11.8-r0.apk
2022-07-02 06:10
640K
libm17n-flt-1.8.4-r1.apk
2023-09-19 23:47
17K
libm17n-core-1.8.4-r1.apk
2023-09-19 23:47
50K
libm4rie-static-20200125-r3.apk
2023-08-01 16:54
214K
libm4rie-dev-20200125-r3.apk
2023-08-01 16:54
25K
libm4rie-20200125-r3.apk
2023-08-01 16:54
201K
libm4ri-static-20200125-r4.apk
2023-08-01 16:54
130K
libm4ri-dev-20200125-r4.apk
2023-08-01 16:54
33K
libm4ri-20200125-r4.apk
2023-08-01 16:54
121K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-05-08 15:01
20K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-05-08 15:01
145K
libjodycode-doc-3.1-r1.apk
2023-07-29 21:36
3.4K
libjodycode-dev-3.1-r1.apk
2023-07-29 21:36
4.2K
libjodycode-3.1-r1.apk
2023-07-29 21:36
6.8K
libiscsi-utils-1.19.0-r2.apk
2023-05-15 18:03
76K
libiscsi-static-1.19.0-r2.apk
2023-05-15 18:03
65K
libiscsi-doc-1.19.0-r2.apk
2023-05-15 18:03
9.3K
libiscsi-dev-1.19.0-r2.apk
2023-05-15 18:03
20K
libiscsi-1.19.0-r2.apk
2023-05-15 18:03
52K
libirecovery-progs-1.1.0-r0.apk
2023-05-27 11:49
7.7K
libirecovery-dev-1.1.0-r0.apk
2023-05-27 11:49
4.2K
libirecovery-1.1.0-r0.apk
2023-05-27 11:49
20K
libimobiledevice-glue-dev-1.0.0-r1.apk
2023-07-29 21:36
5.8K
libimobiledevice-glue-1.0.0-r1.apk
2023-07-29 21:36
15K
libiml-static-1.0.5-r3.apk
2023-08-01 16:54
57K
libiml-dev-1.0.5-r3.apk
2023-08-01 16:54
3.9K
libiml-1.0.5-r3.apk
2023-08-01 16:54
57K
libime-dev-1.1.7-r0.apk
2024-05-10 05:33
31K
libime-1.1.7-r0.apk
2024-05-10 05:33
35M
libiio-tools-0.25-r1.apk
2024-04-16 01:59
66K
libiio-pyc-0.25-r1.apk
2024-04-16 01:59
21K
libiio-doc-0.25-r1.apk
2024-04-16 01:59
18K
libiio-dev-0.25-r1.apk
2024-04-16 01:59
13K
libiio-0.25-r1.apk
2024-04-16 01:59
43K
libigraph-dev-0.10.12-r0.apk
2024-05-13 10:06
93K
libigraph-0.10.12-r0.apk
2024-05-13 10:06
1.0M
libideviceactivation-doc-1.1.1-r4.apk
2023-05-15 04:28
2.2K
libideviceactivation-dev-1.1.1-r4.apk
2023-05-15 04:28
3.4K
libideviceactivation-1.1.1-r4.apk
2023-05-15 04:28
15K
libhx-dev-4.21-r0.apk
2023-12-23 03:34
15K
libhx-4.21-r0.apk
2023-12-23 03:34
33K
libhwpwm-doc-0.4.4-r0.apk
2023-09-28 20:50
13K
libhwpwm-dev-0.4.4-r0.apk
2023-09-28 20:50
5.2K
libhwpwm-0.4.4-r0.apk
2023-09-28 20:50
5.8K
libhomfly-dev-1.02_p6-r1.apk
2024-03-29 22:45
15K
libhomfly-1.02_p6-r1.apk
2024-03-29 22:45
13K
libguestfs-static-1.52.0-r1.apk
2024-04-16 01:59
454K
libguestfs-doc-1.52.0-r1.apk
2024-04-16 01:59
560K
libguestfs-dev-1.52.0-r1.apk
2024-04-16 01:59
29K
libguestfs-1.52.0-r1.apk
2024-04-16 01:59
322K
libgrapheme-doc-1-r0.apk
2022-01-29 00:10
8.0K
libgrapheme-dev-1-r0.apk
2022-01-29 00:10
9.4K
libgrapheme-1-r0.apk
2022-01-29 00:10
9.1K
libgivaro-static-4.2.0-r2.apk
2023-08-01 16:54
91K
libgivaro-dev-4.2.0-r2.apk
2023-08-01 16:54
244K
libgivaro-4.2.0-r2.apk
2023-08-01 16:54
71K
libgedit-tepl-lang-6.10.0-r1.apk
2024-05-29 20:03
47K
libgedit-tepl-doc-6.10.0-r1.apk
2024-05-29 20:03
127K
libgedit-tepl-dev-6.10.0-r1.apk
2024-05-29 20:03
77K
libgedit-tepl-6.10.0-r1.apk
2024-05-29 20:03
94K
libgedit-gtksourceview-lang-299.2.1-r0.apk
2024-05-27 07:44
124K
libgedit-gtksourceview-doc-299.2.1-r0.apk
2024-05-27 07:44
172K
libgedit-gtksourceview-dev-299.2.1-r0.apk
2024-05-27 07:44
105K
libgedit-gtksourceview-299.2.1-r0.apk
2024-05-27 07:44
461K
libgedit-gfls-doc-0.1.0-r0.apk
2024-05-27 07:44
17K
libgedit-gfls-dev-0.1.0-r0.apk
2024-05-27 07:44
9.0K
libgedit-gfls-0.1.0-r0.apk
2024-05-27 07:44
8.3K
libgedit-amtk-lang-5.8.0-r1.apk
2024-05-29 20:03
29K
libgedit-amtk-doc-5.8.0-r1.apk
2024-05-29 20:03
42K
libgedit-amtk-dev-5.8.0-r1.apk
2024-05-29 20:03
22K
libgedit-amtk-5.8.0-r1.apk
2024-05-29 20:03
21K
libgdcm-3.0.24-r0.apk
2024-05-16 19:54
2.5M
libfyaml-doc-0.9-r0.apk
2023-12-21 23:36
7.4K
libfyaml-dev-0.9-r0.apk
2023-12-21 23:36
42K
libfyaml-0.9-r0.apk
2023-12-21 23:36
228K
libfort-dev-0.4.2-r0.apk
2021-10-03 08:14
17K
libfort-0.4.2-r0.apk
2021-10-03 08:14
26K
libfoma-0.10.0_git20221230-r0.apk
2023-06-15 13:47
89K
libfishsound-doc-1.0.0-r1.apk
2020-08-18 17:46
75K
libfishsound-dev-1.0.0-r1.apk
2020-08-18 17:46
53K
libfishsound-1.0.0-r1.apk
2020-08-18 17:46
7.2K
libexmdbpp-dev-1.11-r1.apk
2024-05-17 03:07
24K
libexmdbpp-1.11-r1.apk
2024-05-17 03:07
60K
libettercap-0.8.3.1-r2.apk
2022-10-18 05:56
176K
liberasurecode-dev-1.6.3-r1.apk
2023-05-15 18:03
18K
liberasurecode-1.6.3-r1.apk
2023-05-15 18:03
33K
libemf2svg-utils-1.1.0-r2.apk
2022-10-25 01:23
16K
libemf2svg-1.1.0-r2.apk
2022-10-25 01:23
131K
libecm-7.0.5-r1.apk
2023-05-15 18:03
197K
libecap-static-1.0.1-r1.apk
2023-05-15 18:03
18K
libecap-dev-1.0.1-r1.apk
2023-05-15 18:03
11K
libecap-1.0.1-r1.apk
2023-05-15 18:03
13K
libeantic-dev-2.0.2-r1.apk
2024-04-22 19:49
18K
libeantic-2.0.2-r1.apk
2024-04-22 19:49
64K
libdng-utils-0.1.1-r0.apk
2024-01-21 23:37
5.6K
libdng-doc-0.1.1-r0.apk
2024-01-21 23:37
4.3K
libdng-dev-0.1.1-r0.apk
2024-01-21 23:37
3.2K
libdng-0.1.1-r0.apk
2024-01-21 23:37
9.4K
libdjinterop-dev-0.20.2-r0.apk
2024-06-05 23:00
38K
libdjinterop-0.20.2-r0.apk
2024-06-05 23:00
376K
libdcmtk-3.6.8-r0.apk
2024-01-13 23:52
6.1M
libdbusmenu-lxqt-doc-0.1.0-r0.apk
2024-05-23 04:03
123K
libdbusmenu-lxqt-dev-0.1.0-r0.apk
2024-05-23 04:03
8.2K
libdbusmenu-lxqt-0.1.0-r0.apk
2024-05-23 04:03
66K
libdatrie-libs-0.2.13-r0.apk
2022-01-27 01:31
9.6K
libdatrie-doc-0.2.13-r0.apk
2022-01-27 01:31
3.5K
libdatrie-dev-0.2.13-r0.apk
2022-01-27 01:31
16K
libdatrie-0.2.13-r0.apk
2022-01-27 01:31
6.5K
libcyaml-static-1.4.1-r2.apk
2024-01-12 01:27
20K
libcyaml-doc-1.4.1-r2.apk
2024-01-12 01:27
8.6K
libcyaml-dev-1.4.1-r2.apk
2024-01-12 01:27
13K
libcyaml-1.4.1-r2.apk
2024-01-12 01:27
17K
libctl-doc-4.5.1-r1.apk
2023-05-15 18:03
3.0K
libctl-dev-4.5.1-r1.apk
2023-05-15 18:03
39K
libctl-4.5.1-r1.apk
2023-05-15 18:03
84K
libcrypto1.1-1.1.1w-r0.apk
2023-09-12 13:01
924K
libcryptmount-2.20-r0.apk
2023-12-23 03:34
9.4K
libcotp-dev-2.0.2-r0.apk
2023-10-28 11:40
2.5K
libcotp-2.0.2-r0.apk
2023-10-28 11:40
7.0K
libcorkipset-tools-1.1.1-r4.apk
2023-10-30 11:37
11K
libcorkipset-dev-1.1.1-r4.apk
2023-10-30 11:37
8.1K
libcorkipset-1.1.1-r4.apk
2023-10-30 11:37
10K
libcork-tools-0.15.0-r7.apk
2023-12-07 16:23
4.4K
libcork-dev-0.15.0-r7.apk
2023-12-07 16:23
30K
libcork-0.15.0-r7.apk
2023-12-07 16:23
27K
libcli-1.10.7-r0.apk
2021-03-21 08:49
24K
libcec-rpi-dev-6.0.2-r3.apk
2022-12-18 06:57
25K
libcec-rpi-6.0.2-r3.apk
2022-12-18 06:57
154K
libbsoncxx-dev-3.8.0-r0.apk
2023-08-19 22:09
39K
libbsoncxx-3.8.0-r0.apk
2023-08-19 22:09
40K
libbraiding-dev-1.2-r2.apk
2023-08-01 16:54
15K
libbraiding-1.2-r2.apk
2023-08-01 16:54
43K
libblastrampoline-dev-5.2.0-r0.apk
2022-10-28 16:15
94K
libblastrampoline-5.2.0-r0.apk
2022-10-28 16:15
282K
libbamf-doc-0.5.6-r1.apk
2023-10-20 09:29
31K
libbamf-dev-0.5.6-r1.apk
2023-10-20 09:29
6.4K
libbamf-0.5.6-r1.apk
2023-10-20 09:29
126K
libaudec-tools-0.3.4-r3.apk
2023-07-29 21:36
26K
libaudec-static-0.3.4-r3.apk
2023-07-29 21:36
28K
libaudec-dev-0.3.4-r3.apk
2023-07-29 21:36
4.3K
libaudec-0.3.4-r3.apk
2023-07-29 21:36
26K
libarb-static-2.23.0-r2.apk
2023-08-01 16:54
1.6M
libarb-dev-2.23.0-r2.apk
2023-08-01 16:54
54K
libarb-2.23.0-r2.apk
2023-08-01 16:54
1.3M
libantlr3c-dev-3.4-r3.apk
2023-05-15 18:03
58K
libantlr3c-3.4-r3.apk
2023-05-15 18:03
35K
libantic-dev-0.2.5-r0.apk
2022-11-02 03:35
6.4K
libantic-0.2.5-r0.apk
2022-11-02 03:35
34K
libabigail-tools-2.3-r0.apk
2023-05-03 11:10
90K
libabigail-doc-2.3-r0.apk
2023-05-03 11:10
61K
libabigail-dev-2.3-r0.apk
2023-05-03 11:10
1.3M
libabigail-bash-completion-2.3-r0.apk
2023-05-03 11:10
3.0K
libabigail-2.3-r0.apk
2023-05-03 11:10
717K
lgogdownloader-doc-3.12-r2.apk
2024-04-22 19:49
8.2K
lgogdownloader-3.12-r2.apk
2024-04-22 19:49
322K
lfm-pyc-3.1-r4.apk
2024-04-16 01:59
134K
lfm-doc-3.1-r4.apk
2024-04-16 01:59
2.8K
lfm-3.1-r4.apk
2024-04-16 01:59
88K
levmar-dev-2.6-r0.apk
2022-04-06 13:28
43K
level-zero-dev-1.17.6-r0.apk
2024-05-30 18:25
277K
level-zero-1.17.6-r0.apk
2024-05-30 18:25
159K
leptosfmt-doc-0.1.18-r0.apk
2024-01-19 16:15
6.2K
leptosfmt-0.1.18-r0.apk
2024-01-19 16:15
758K
lemonbar-doc-1.4-r1.apk
2022-10-25 01:23
5.8K
lemonbar-1.4-r1.apk
2022-10-25 01:23
13K
legume-doc-1.4.2-r2.apk
2024-05-19 02:22
12K
legume-1.4.2-r2.apk
2024-05-19 02:22
1.3M
ledmon-doc-0.97-r1.apk
2023-12-18 11:40
13K
ledmon-0.97-r1.apk
2023-12-18 11:40
64K
ldapdomaindump-pyc-0.9.4-r1.apk
2024-04-16 01:59
31K
ldapdomaindump-0.9.4-r1.apk
2024-04-16 01:59
18K
lazymc-openrc-0.2.11-r0.apk
2024-03-24 13:32
2.0K
lazymc-0.2.11-r0.apk
2024-03-24 13:32
1.0M
laze-zsh-completion-0.1.21-r0.apk
2024-02-13 23:22
3.0K
laze-fish-completion-0.1.21-r0.apk
2024-02-13 23:22
2.6K
laze-doc-0.1.21-r0.apk
2024-02-13 23:22
3.4K
laze-bash-completion-0.1.21-r0.apk
2024-02-13 23:22
2.7K
laze-0.1.21-r0.apk
2024-02-13 23:22
857K
kubesplit-pyc-0.3.3-r1.apk
2024-04-16 01:59
13K
kubesplit-0.3.3-r1.apk
2024-04-16 01:59
13K
kubepug-zsh-completion-1.7.1-r3.apk
2024-05-19 02:22
4.0K
kubepug-fish-completion-1.7.1-r3.apk
2024-05-19 02:22
4.3K
kubepug-bash-completion-1.7.1-r3.apk
2024-05-19 02:22
5.1K
kubepug-1.7.1-r3.apk
2024-05-19 02:22
16M
kubeone-zsh-completion-1.7.4-r1.apk
2024-05-19 02:22
4.0K
kubeone-doc-1.7.4-r1.apk
2024-05-19 02:22
20K
kubeone-bash-completion-1.7.4-r1.apk
2024-05-19 02:22
6.6K
kubeone-1.7.4-r1.apk
2024-05-19 02:22
23M
kubectl-oidc_login-1.28.0-r6.apk
2024-05-19 02:22
4.7M
kubectl-krew-0.4.4-r5.apk
2024-05-19 02:22
4.1M
kubectl-cert-manager-1.14.4-r3.apk
2024-05-19 02:22
21M
kubeconform-0.6.6-r0.apk
2024-06-08 23:23
2.9M
kube-no-trouble-0.7.2-r2.apk
2024-05-19 02:22
13M
kopia-zsh-completion-0.17.0-r0.apk
2024-05-30 19:36
1.8K
kopia-bash-completion-0.17.0-r0.apk
2024-05-30 19:36
1.8K
kopia-0.17.0-r0.apk
2024-05-30 19:36
12M
kondo-zsh-completion-0.8-r0.apk
2023-12-20 23:45
2.4K
kondo-fish-completion-0.8-r0.apk
2023-12-20 23:45
2.1K
kondo-bash-completion-0.8-r0.apk
2023-12-20 23:45
2.1K
kondo-0.8-r0.apk
2023-12-20 23:45
596K
kompose-zsh-completion-1.31.2-r3.apk
2024-05-19 02:22
6.8K
kompose-fish-completion-1.31.2-r3.apk
2024-05-19 02:22
4.4K
kompose-bash-completion-1.31.2-r3.apk
2024-05-19 02:22
5.6K
kompose-1.31.2-r3.apk
2024-05-19 02:22
6.7M
komikku-pyc-1.46.0-r0.apk
2024-05-17 13:57
629K
komikku-lang-1.46.0-r0.apk
2024-05-17 13:57
168K
komikku-1.46.0-r0.apk
2024-05-17 13:57
956K
kodi-vfs-sftp-20.2.0-r1.apk
2023-07-02 19:37
51K
kodi-vfs-sacd-20.1.0-r1.apk
2023-07-02 19:37
86K
kodi-vfs-rar-20.1.0-r1.apk
2023-07-02 19:37
384K
kodi-vfs-libarchive-20.3.0-r1.apk
2023-07-02 19:37
102K
kodi-pvr-iptvsimple-20.11.0-r0.apk
2023-09-05 20:42
889K
kodi-pvr-hts-20.6.2-r1.apk
2023-07-02 19:37
284K
kodi-peripheral-joystick-20.1.9-r0.apk
2023-07-02 19:37
198K
kodi-inputstream-rtmp-20.3.0-r1.apk
2023-07-02 19:37
85K
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk
2023-07-02 19:37
320K
kodi-inputstream-adaptive-20.3.12-r0.apk
2023-09-05 19:18
1.1M
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2023-07-02 19:37
16K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2023-07-02 19:37
26K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2023-07-02 19:37
23K
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2023-07-02 19:37
19K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2023-07-02 19:37
1.3M
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2023-07-02 19:37
326K
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2023-07-02 19:37
160K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2023-07-02 19:37
180K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2023-07-02 19:37
74K
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2023-07-02 19:37
64K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2023-07-02 19:37
17K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2023-07-02 19:37
16K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2023-07-02 19:37
20K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2023-07-02 19:37
20K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2023-07-02 19:37
64K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2023-07-02 19:37
54K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2023-07-02 19:37
21K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2023-07-02 19:37
17K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2023-07-02 19:37
15K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2023-07-02 19:37
15K
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2023-07-02 19:37
241K
kodi-game-libretro-20.1.0-r0.apk
2023-07-02 19:37
109K
kodi-audioencoder-wav-20.2.0-r1.apk
2023-07-02 19:37
26K
kodi-audioencoder-vorbis-20.2.0-r1.apk
2023-07-02 19:37
34K
kodi-audioencoder-lame-20.3.0-r1.apk
2023-07-02 19:37
91K
kodi-audioencoder-flac-20.2.0-r1.apk
2023-07-02 19:37
42K
ko-zsh-completion-0.15.2-r2.apk
2024-05-19 02:22
4.0K
ko-fish-completion-0.15.2-r2.apk
2024-05-19 02:22
4.3K
ko-bash-completion-0.15.2-r2.apk
2024-05-19 02:22
5.0K
ko-0.15.2-r2.apk
2024-05-19 02:22
8.2M
knxd-dev-0.14.61-r0.apk
2024-05-13 19:33
23K
knxd-0.14.61-r0.apk
2024-05-13 19:33
357K
knative-client-zsh-completion-1.14.0-r1.apk
2024-05-19 02:22
4.0K
knative-client-bash-completion-1.14.0-r1.apk
2024-05-19 02:22
10K
knative-client-1.14.0-r1.apk
2024-05-19 02:22
20M
kmscon-doc-9.0.0-r0.apk
2022-10-05 02:06
7.7K
kmscon-9.0.0-r0.apk
2022-10-05 02:06
785K
kjv-0_git20221103-r0.apk
2023-09-25 06:50
1.5M
kismet-nxp-kw41z-0.202307.1-r2.apk
2023-11-15 16:23
39K
kismet-nrf-51822-0.202307.1-r2.apk
2023-11-15 16:23
37K
kismet-logtools-0.202307.1-r2.apk
2023-11-15 16:23
1.0M
kismet-linux-wifi-0.202307.1-r2.apk
2023-11-15 16:23
58K
kismet-linux-bluetooth-0.202307.1-r2.apk
2023-11-15 16:23
40K
kismet-0.202307.1-r2.apk
2023-11-15 16:23
11M
kirc-doc-0.3.2-r0.apk
2023-05-23 14:47
2.6K
kirc-0.3.2-r0.apk
2023-05-23 14:47
9.7K
kine-doc-0.10.1-r5.apk
2024-05-19 02:22
5.1K
kine-0.10.1-r5.apk
2024-05-19 02:22
7.3M
kind-zsh-completion-0.22.0-r3.apk
2024-05-19 02:22
3.7K
kind-fish-completion-0.22.0-r3.apk
2024-05-19 02:22
3.9K
kind-bash-completion-0.22.0-r3.apk
2024-05-19 02:22
5.8K
kind-0.22.0-r3.apk
2024-05-19 02:22
2.3M
kimchi-pyc-3.0.0-r7.apk
2024-04-16 01:59
378K
kimchi-lang-3.0.0-r7.apk
2024-04-16 01:59
172K
kimchi-3.0.0-r7.apk
2024-04-16 01:59
536K
khronos-lang-4.0.1-r0.apk
2023-10-13 17:26
26K
khronos-4.0.1-r0.apk
2023-10-13 17:26
47K
khinsider-2.0.7-r13.apk
2024-05-19 02:22
3.0M
kgraphviewer-lang-2.5.0-r0.apk
2024-05-08 19:44
230K
kgraphviewer-dev-2.5.0-r0.apk
2024-05-08 19:44
6.3K
kgraphviewer-2.5.0-r0.apk
2024-05-08 19:44
1.2M
kfc-0.1.4-r0.apk
2023-06-15 13:47
58K
keystone-python-pyc-0.9.2-r6.apk
2024-04-16 01:59
9.7K
keystone-python-0.9.2-r6.apk
2024-04-16 01:59
1.5M
keystone-dev-0.9.2-r6.apk
2024-04-16 01:59
7.3K
keystone-0.9.2-r6.apk
2024-04-16 01:59
1.3M
keybase-client-6.2.8-r3.apk
2024-05-19 02:22
17M
kerberoast-pyc-0.2.0-r1.apk
2024-04-16 01:59
15K
kerberoast-0.2.0-r1.apk
2024-04-16 01:59
9.6K
keepassxc-browser-1.8.9-r0.apk
2023-11-06 22:35
876K
kdiskmark-lang-3.1.4-r1.apk
2023-10-17 18:45
27K
kdiskmark-3.1.4-r1.apk
2023-10-17 18:45
154K
kbs2-zsh-completion-0.7.2-r3.apk
2023-07-29 21:36
4.0K
kbs2-fish-completion-0.7.2-r3.apk
2023-07-29 21:36
3.2K
kbs2-bash-completion-0.7.2-r3.apk
2023-07-29 21:36
3.1K
kbs2-0.7.2-r3.apk
2023-07-29 21:36
915K
katarakt-0.2-r0.apk
2022-02-02 04:37
72K
katana-1.1.0-r2.apk
2024-05-19 02:22
13M
kapow-0.7.1-r6.apk
2024-05-19 02:22
3.1M
kannel-doc-1.5.0-r11.apk
2023-04-29 19:30
6.2K
kannel-dev-1.5.0-r11.apk
2023-04-29 19:30
882K
kannel-1.5.0-r11.apk
2023-04-29 19:30
5.6M
kanister-tools-zsh-completion-0.107.0-r1.apk
2024-05-19 02:22
4.4K
kanister-tools-fish-completion-0.107.0-r1.apk
2024-05-19 02:22
4.9K
kanister-tools-bash-completion-0.107.0-r1.apk
2024-05-19 02:22
5.6K
kanister-tools-0.107.0-r1.apk
2024-05-19 02:22
57M
kakoune-lsp-17.0.1-r0.apk
2024-05-31 12:54
1.9M
kabmat-doc-2.7.0-r0.apk
2023-05-07 08:36
3.5K
kabmat-2.7.0-r0.apk
2023-05-07 08:36
50K
k3sup-zsh-completion-0.13.5-r4.apk
2024-05-23 15:54
4.0K
k3sup-fish-completion-0.13.5-r4.apk
2024-05-23 15:54
4.3K
k3sup-bash-completion-0.13.5-r4.apk
2024-05-23 15:54
5.0K
k3sup-0.13.5-r4.apk
2024-05-23 15:54
2.3M
k2-0_git20220807-r1.apk
2023-08-01 16:54
98K
jwt-cli-6.0.0-r0.apk
2023-07-16 06:29
708K
junit2html-pyc-0.2.0-r3.apk
2024-04-16 01:59
24K
junit2html-0.2.0-r3.apk
2024-04-16 01:59
14K
jsonnet-language-server-0.13.1-r3.apk
2024-05-19 02:22
3.7M
jsonnet-bundler-0.5.1-r13.apk
2024-05-19 02:22
2.8M
json2tsv-jaq-doc-1.1-r0.apk
2023-07-22 16:09
2.3K
json2tsv-jaq-1.1-r0.apk
2023-07-22 16:09
1.9K
json2tsv-doc-1.1-r0.apk
2023-07-22 16:09
5.2K
json2tsv-1.1-r0.apk
2023-07-22 16:09
6.2K
jsmn-1.1.0-r2.apk
2024-01-19 18:04
4.7K
jrsonnet-cli-0.4.2-r1.apk
2023-05-24 07:50
502K
jotdown-0.4.0-r0.apk
2024-05-19 02:22
186K
jhead-doc-3.08-r0.apk
2023-07-23 15:59
7.9K
jhead-3.08-r0.apk
2023-07-23 15:59
31K
jfrog-cli-2.45.0-r5.apk
2024-05-19 02:22
8.5M
jellyfin-ffmpeg-6.0.1_p7-r0.apk
2024-05-25 19:23
18M
jedi-language-server-pyc-0.41.4-r0.apk
2024-04-17 12:27
37K
jedi-language-server-0.41.4-r0.apk
2024-04-17 12:27
27K
jdupes-doc-1.27.3-r0.apk
2023-08-27 22:22
7.9K
jdupes-1.27.3-r0.apk
2023-08-27 22:22
26K
jdebp-redo-doc-1.4-r1.apk
2022-06-11 18:44
12K
jdebp-redo-1.4-r1.apk
2022-06-11 18:44
82K
jbigkit-doc-2.1-r2.apk
2022-10-25 01:23
7.3K
jbigkit-dev-2.1-r2.apk
2022-10-25 01:23
28K
jbigkit-2.1-r2.apk
2022-10-25 01:23
62K
java-jtharness-examples-6.0_p12-r0.apk
2022-10-05 21:20
219K
java-jtharness-doc-6.0_p12-r0.apk
2022-10-05 21:20
12K
java-jtharness-6.0_p12-r0.apk
2022-10-05 21:20
4.0M
java-gdcm-3.0.24-r0.apk
2024-05-16 19:54
579K
jaq-1.3.0-r0.apk
2024-02-05 07:03
1.1M
jami-qt-lang-20230925-r0.apk
2023-10-14 23:17
2.3M
jami-qt-doc-20230925-r0.apk
2023-10-14 23:17
2.7K
jami-qt-20230925-r0.apk
2023-10-14 23:17
13M
jami-daemon-dev-4.0.0_git20230925-r2.apk
2024-06-03 08:22
22K
jami-daemon-4.0.0_git20230925-r2.apk
2024-06-03 08:22
4.1M
jalv-gtk-1.6.8-r1.apk
2023-07-29 21:36
30K
jalv-doc-1.6.8-r1.apk
2023-07-29 21:36
3.2K
jalv-1.6.8-r1.apk
2023-07-29 21:36
43K
jackline-0.1.0-r3.apk
2024-03-23 21:42
3.9M
jackett-openrc-0.21.2458-r0.apk
2024-04-26 16:18
2.0K
jackett-0.21.2458-r0.apk
2024-04-26 16:18
4.4M
jackdaw-pyc-0.3.1-r1.apk
2024-04-16 01:59
370K
jackdaw-0.3.1-r1.apk
2024-04-16 01:59
2.0M
jackal-openrc-0.64.0-r8.apk
2024-05-19 02:22
1.8K
jackal-0.64.0-r8.apk
2024-05-19 02:22
11M
jack_capture-0.9.73_git20210429-r2.apk
2022-07-01 04:16
30K
j4-dmenu-desktop-2.18-r1.apk
2022-08-06 14:15
40K
itd-1.1.0-r6.apk
2024-05-19 02:22
8.3M
isoinfo-0_git20131217-r1.apk
2022-10-25 01:23
6.1K
ircd-hybrid-doc-8.2.43-r0.apk
2023-06-15 13:47
3.7K
ircd-hybrid-8.2.43-r0.apk
2023-06-15 13:47
278K
irccd-openrc-4.0.3-r0.apk
2023-07-29 21:36
1.8K
irccd-doc-4.0.3-r0.apk
2023-07-29 21:36
80K
irccd-dev-4.0.3-r0.apk
2023-07-29 21:36
9.6K
irccd-4.0.3-r0.apk
2023-07-29 21:36
223K
iprange-doc-1.0.4-r1.apk
2022-10-25 01:23
4.5K
iprange-1.0.4-r1.apk
2022-10-25 01:23
20K
ip2location-doc-8.6.1-r0.apk
2023-06-15 13:47
2.7K
ip2location-dev-8.6.1-r0.apk
2023-06-15 13:47
11K
ip2location-8.6.1-r0.apk
2023-06-15 13:47
21K
interception-tools-openrc-0.6.8-r2.apk
2024-04-22 19:49
1.7K
interception-tools-0.6.8-r2.apk
2024-04-22 19:49
97K
innernet-zsh-completion-1.6.1-r0.apk
2024-02-23 20:45
5.4K
innernet-openrc-1.6.1-r0.apk
2024-02-23 20:45
2.3K
innernet-fish-completion-1.6.1-r0.apk
2024-02-23 20:45
4.5K
innernet-doc-1.6.1-r0.apk
2024-02-23 20:45
9.0K
innernet-bash-completion-1.6.1-r0.apk
2024-02-23 20:45
3.8K
innernet-1.6.1-r0.apk
2024-02-23 20:45
2.5M
initify-0_git20171210-r1.apk
2022-10-25 01:23
3.3K
imrsh-dbg-0_git20210320-r1.apk
2022-10-25 01:23
20K
imrsh-0_git20210320-r1.apk
2022-10-25 01:23
7.6K
imgdiff-doc-1.0.2-r19.apk
2024-05-19 02:22
2.2K
imgdiff-1.0.2-r19.apk
2024-05-19 02:22
896K
imediff-pyc-2.6-r1.apk
2024-04-16 01:59
44K
imediff-doc-2.6-r1.apk
2024-04-16 01:59
6.5K
imediff-2.6-r1.apk
2024-04-16 01:59
42K
imapfilter-doc-2.8.2-r0.apk
2023-12-31 20:40
13K
imapfilter-2.8.2-r0.apk
2023-12-31 20:40
35K
ijq-doc-1.1.0-r1.apk
2024-05-19 02:22
3.5K
ijq-1.1.0-r1.apk
2024-05-19 02:22
1.3M
iipsrv-openrc-1.2-r0.apk
2023-10-05 07:24
1.9K
iipsrv-lighttpd-1.2-r0.apk
2023-10-05 07:24
1.6K
iipsrv-doc-1.2-r0.apk
2023-10-05 07:24
6.4K
iipsrv-apache-1.2-r0.apk
2023-10-05 07:24
1.6K
iipsrv-1.2-r0.apk
2023-10-05 07:24
111K
igrep-doc-1.2.0-r0.apk
2023-08-09 17:40
4.1K
igrep-1.2.0-r0.apk
2023-08-09 17:40
1.5M
ifuse-doc-1.1.4-r4.apk
2023-08-13 21:16
2.4K
ifuse-1.1.4-r4.apk
2023-08-13 21:16
9.5K
idevicerestore-doc-1.0.0-r3.apk
2023-05-27 11:49
2.6K
idevicerestore-1.0.0-r3.apk
2023-05-27 11:49
76K
ideviceinstaller-doc-1.1.1-r3.apk
2023-05-15 04:28
2.5K
ideviceinstaller-1.1.1-r3.apk
2023-05-15 04:28
14K
idesk-1-r1.apk
2022-10-14 16:53
60K
icmake-doc-9.03.01-r0.apk
2023-10-06 17:44
127K
icmake-9.03.01-r0.apk
2023-10-06 17:44
94K
icestorm-0_git20231212-r0.apk
2024-01-12 03:15
17M
ibus-rime-1.5.0-r1.apk
2023-09-18 13:42
22K
i3status-rust-doc-0.33.1-r0.apk
2024-04-08 22:04
31K
i3status-rust-0.33.1-r0.apk
2024-04-08 22:04
3.5M
i2util-doc-4.2.1-r1.apk
2022-10-25 01:23
4.7K
i2util-dev-4.2.1-r1.apk
2022-10-25 01:23
43K
i2util-4.2.1-r1.apk
2022-10-25 01:23
20K
hyx-2021.06.09-r0.apk
2023-01-17 19:52
14K
hyprwayland-scanner-doc-0.3.8-r0.apk
2024-05-21 23:52
2.4K
hyprwayland-scanner-0.3.8-r0.apk
2024-05-21 23:52
61K
hyprland-wallpapers-0.40.0-r0.apk
2024-05-21 23:52
45M
hyprland-doc-0.40.0-r0.apk
2024-05-21 23:52
3.4K
hyprland-dev-0.40.0-r0.apk
2024-05-21 23:52
714K
hyprland-0.40.0-r0.apk
2024-05-21 23:52
1.5M
hyprcursor-util-0.1.8-r0.apk
2024-05-21 23:52
93K
hyprcursor-doc-0.1.8-r0.apk
2024-05-21 23:52
5.1K
hyprcursor-dev-0.1.8-r0.apk
2024-05-21 23:52
4.9K
hyprcursor-0.1.8-r0.apk
2024-05-21 23:52
121K
hypnotix-lang-3.5-r0.apk
2023-07-18 01:33
72K
hypnotix-3.5-r0.apk
2023-07-18 01:33
110K
hyperlink-0.1.32-r0.apk
2024-04-03 01:39
489K
hy-pyc-0.29.0-r0.apk
2024-05-30 23:30
169K
hy-0.29.0-r0.apk
2024-05-30 23:30
80K
hx-doc-1.0.14-r0.apk
2023-11-04 11:25
4.8K
hx-1.0.14-r0.apk
2023-11-04 11:25
13K
hwatch-zsh-completion-0.3.11-r0.apk
2024-03-18 00:53
1.9K
hwatch-fish-completion-0.3.11-r0.apk
2024-03-18 00:53
1.8K
hwatch-doc-0.3.11-r0.apk
2024-03-18 00:53
3.0K
hwatch-0.3.11-r0.apk
2024-03-18 00:53
894K
hw-probe-1.6.5-r1.apk
2023-07-04 00:43
117K
hurl-doc-4.3.0-r0.apk
2024-05-04 14:29
8.1K
hurl-4.3.0-r0.apk
2024-05-04 14:29
2.4M
hunspell-es-ar-doc-2.7-r0.apk
2023-06-15 13:47
2.8K
hunspell-es-ar-2.7-r0.apk
2023-06-15 13:47
226K
hunspell-ca-es-3.0.7-r0.apk
2022-12-04 13:25
731K
hubble-cli-zsh-completion-0.13.3-r1.apk
2024-05-19 02:22
4.0K
hubble-cli-fish-completion-0.13.3-r1.apk
2024-05-19 02:22
4.3K
hubble-cli-bash-completion-0.13.3-r1.apk
2024-05-19 02:22
5.1K
hubble-cli-0.13.3-r1.apk
2024-05-19 02:22
17M
hub-zsh-completion-2.14.2-r23.apk
2024-05-19 02:22
3.7K
hub-fish-completion-2.14.2-r23.apk
2024-05-19 02:22
3.3K
hub-doc-2.14.2-r23.apk
2024-05-19 02:22
43K
hub-bash-completion-2.14.2-r23.apk
2024-05-19 02:22
4.6K
hub-2.14.2-r23.apk
2024-05-19 02:22
2.5M
httrack-doc-3.49.2-r5.apk
2023-05-15 18:03
528K
httrack-3.49.2-r5.apk
2023-05-15 18:03
717K
httpie-oauth-pyc-1.0.2-r9.apk
2024-04-16 01:59
2.3K
httpie-oauth-1.0.2-r9.apk
2024-04-16 01:59
3.4K
htslib-tools-1.19-r0.apk
2023-12-12 18:44
1.1M
htslib-static-1.19-r0.apk
2023-12-12 18:44
419K
htslib-doc-1.19-r0.apk
2023-12-12 18:44
23K
htslib-dev-1.19-r0.apk
2023-12-12 18:44
115K
htslib-1.19-r0.apk
2023-12-12 18:44
331K
htmldoc-doc-1.9.18-r0.apk
2024-02-12 11:47
98K
htmldoc-1.9.18-r0.apk
2024-02-12 11:47
2.3M
htmlcxx-dev-0.87-r1.apk
2022-10-14 16:53
21K
htmlcxx-0.87-r1.apk
2022-10-14 16:53
54K
hstdb-2.1.0-r2.apk
2023-05-24 07:50
787K
hsetroot-1.0.5-r1.apk
2022-10-25 01:23
11K
hpnssh-doc-18.4.1-r0.apk
2024-05-07 21:34
98K
hpnssh-18.4.1-r0.apk
2024-05-07 21:34
2.0M
hping3-doc-20051105-r4.apk
2020-07-23 01:59
17K
hping3-20051105-r4.apk
2020-07-23 01:59
63K
howard-bc-doc-6.7.5-r0.apk
2024-01-07 23:32
39K
howard-bc-6.7.5-r0.apk
2024-01-07 23:32
59K
horust-doc-0.1.7-r1.apk
2023-05-24 07:50
9.2K
horust-0.1.7-r1.apk
2023-05-24 07:50
924K
horizon-tools-0.9.6-r9.apk
2024-04-22 19:49
67K
horizon-image-0.9.6-r9.apk
2024-04-22 19:49
60K
horizon-doc-0.9.6-r9.apk
2024-04-22 19:49
21K
horizon-dev-0.9.6-r9.apk
2024-04-22 19:49
4.9K
horizon-dbg-0.9.6-r9.apk
2024-04-22 19:49
4.0M
horizon-0.9.6-r9.apk
2024-04-22 19:49
187K
hopalong-0.1-r3.apk
2022-10-18 05:15
19K
homebank-lang-5.7.4-r0.apk
2024-02-18 21:23
906K
homebank-5.7.4-r0.apk
2024-02-18 21:23
1.8M
hitide-openrc-0.15.0-r0.apk
2024-03-29 02:13
2.1K
hitide-0.15.0-r0.apk
2024-03-29 02:13
1.9M
hiprompt-gtk-py-0_git20230225-r0.apk
2023-02-25 18:58
7.1K
hilbish-doc-2.2.3-r1.apk
2024-05-19 02:22
26K
hilbish-2.2.3-r1.apk
2024-05-19 02:22
3.1M
hikari-unlocker-2.3.3-r6.apk
2024-02-02 22:16
3.8K
hikari-doc-2.3.3-r6.apk
2024-02-02 22:16
14K
hikari-2.3.3-r6.apk
2024-02-02 22:16
929K
hidrd-dev-0.2.0_git20190603-r1.apk
2022-10-25 01:23
118K
hidrd-0.2.0_git20190603-r1.apk
2022-10-25 01:23
63K
hiawatha-openrc-11.5-r0.apk
2024-04-13 19:04
1.7K
hiawatha-letsencrypt-11.5-r0.apk
2024-04-13 19:04
18K
hiawatha-doc-11.5-r0.apk
2024-04-13 19:04
21K
hiawatha-11.5-r0.apk
2024-04-13 19:04
150K
hfst-libs-3.16.0-r2.apk
2024-04-16 01:59
1.5M
hfst-doc-3.16.0-r2.apk
2024-04-16 01:59
71K
hfst-dev-3.16.0-r2.apk
2024-04-16 01:59
213K
hfst-3.16.0-r2.apk
2024-04-16 01:59
1.2M
hexer-dev-1.4.0-r15.apk
2024-05-19 02:22
7.8K
hexer-1.4.0-r15.apk
2024-05-19 02:22
54K
hexedit-doc-1.6_git20230905-r0.apk
2024-04-04 16:57
5.6K
hexedit-1.6_git20230905-r0.apk
2024-04-04 16:57
17K
hexdiff-doc-0.0.53-r2.apk
2022-10-25 01:23
3.7K
hexdiff-0.0.53-r2.apk
2022-10-25 01:23
13K
hex-0.6.0-r0.apk
2024-01-07 21:46
258K
herbe-1.0.0-r0.apk
2022-08-10 20:44
5.6K
helmfile-zsh-completion-0.162.0-r3.apk
2024-05-19 02:22
4.0K
helmfile-fish-completion-0.162.0-r3.apk
2024-05-19 02:22
4.3K
helmfile-doc-0.162.0-r3.apk
2024-05-19 02:22
2.2K
helmfile-bash-completion-0.162.0-r3.apk
2024-05-19 02:22
5.1K
helmfile-0.162.0-r3.apk
2024-05-19 02:22
31M
helm-ls-doc-0.0.12-r2.apk
2024-05-19 02:22
2.2K
helm-ls-0.0.12-r2.apk
2024-05-19 02:22
11M
heisenbridge-pyc-1.14.6-r0.apk
2024-06-02 19:39
152K
heisenbridge-1.14.6-r0.apk
2024-06-02 19:39
67K
heh-doc-0.5.0-r0.apk
2024-04-11 02:39
4.1K
heh-0.5.0-r0.apk
2024-04-11 02:39
428K
headscale-zsh-completion-0.22.3-r7.apk
2024-05-19 02:22
4.1K
headscale-openrc-0.22.3-r7.apk
2024-05-19 02:22
1.8K
headscale-fish-completion-0.22.3-r7.apk
2024-05-19 02:22
4.3K
headscale-bash-completion-0.22.3-r7.apk
2024-05-19 02:22
5.1K
headscale-0.22.3-r7.apk
2024-05-19 02:22
9.7M
hdf4-tools-4.2.15-r1.apk
2023-05-15 18:03
173K
hdf4-doc-4.2.15-r1.apk
2023-05-15 18:03
6.0K
hdf4-dev-4.2.15-r1.apk
2023-05-15 18:03
101K
hdf4-4.2.15-r1.apk
2023-05-15 18:03
238K
hctl-0.2.6-r0.apk
2024-03-11 07:24
1.1M
hatop-doc-0.8.2-r0.apk
2022-08-01 19:46
3.0K
hatop-0.8.2-r0.apk
2022-08-01 19:46
18K
hashcat-doc-6.2.6-r0.apk
2022-09-05 01:49
2.1M
hashcat-6.2.6-r0.apk
2022-09-05 01:49
52M
harminv-libs-1.4.2-r1.apk
2023-10-09 18:23
11K
harminv-doc-1.4.2-r1.apk
2023-10-09 18:23
5.6K
harminv-dev-1.4.2-r1.apk
2023-10-09 18:23
3.1K
harminv-1.4.2-r1.apk
2023-10-09 18:23
7.1K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-04-16 01:59
358K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-04-16 01:59
206K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-04-16 01:59
116K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-04-16 01:59
2.0K
hamster-time-tracker-3.0.3-r2.apk
2024-04-16 01:59
156K
halp-zsh-completion-0.1.7-r1.apk
2023-07-02 19:37
2.3K
halp-fish-completion-0.1.7-r1.apk
2023-07-02 19:37
1.9K
halp-doc-0.1.7-r1.apk
2023-07-02 19:37
6.8K
halp-bash-completion-0.1.7-r1.apk
2023-07-02 19:37
2.1K
halp-0.1.7-r1.apk
2023-07-02 19:37
762K
habitctl-0.1.0-r2.apk
2023-05-24 07:50
285K
h4h5tools-static-2.2.5-r3.apk
2024-05-04 15:29
107K
h4h5tools-doc-2.2.5-r3.apk
2024-05-04 15:29
2.7K
h4h5tools-dev-2.2.5-r3.apk
2024-05-04 15:29
8.8K
h4h5tools-2.2.5-r3.apk
2024-05-04 15:29
113K
gx-go-doc-1.9.0-r25.apk
2024-05-19 02:22
2.3K
gx-go-1.9.0-r25.apk
2024-05-19 02:22
4.4M
gx-doc-0.14.3-r23.apk
2024-05-19 02:22
2.3K
gx-0.14.3-r23.apk
2024-05-19 02:22
4.2M
gutenprint-static-5.3.4-r3.apk
2023-05-21 19:06
677K
gutenprint-samples-5.3.4-r3.apk
2023-05-21 19:06
635K
gutenprint-libs-5.3.4-r3.apk
2023-05-21 19:06
461K
gutenprint-lang-5.3.4-r3.apk
2023-05-21 19:06
1.9M
gutenprint-doc-5.3.4-r3.apk
2023-05-21 19:06
8.4K
gutenprint-dev-5.3.4-r3.apk
2023-05-21 19:06
36K
gutenprint-cups-5.3.4-r3.apk
2023-05-21 19:06
49M
gutenprint-5.3.4-r3.apk
2023-05-21 19:05
818K
guish-doc-2.6.10-r0.apk
2023-05-27 17:24
62K
guish-2.6.10-r0.apk
2023-05-27 17:24
81K
gufw-pyc-24.04-r1.apk
2024-04-16 01:59
97K
gufw-lang-24.04-r1.apk
2024-04-16 01:59
857K
gufw-doc-24.04-r1.apk
2024-04-16 01:59
4.6K
gufw-24.04-r1.apk
2024-04-16 01:59
576K
guetzli-dev-0_git20191025-r1.apk
2022-10-25 01:23
2.1M
guetzli-0_git20191025-r1.apk
2022-10-25 01:23
115K
guestfs-tools-1.52.0-r1.apk
2024-04-16 01:59
275K
guake-pyc-3.10-r1.apk
2024-04-16 01:59
186K
guake-lang-3.10-r1.apk
2024-04-16 01:59
188K
guake-3.10-r1.apk
2024-04-16 01:59
305K
gtkwave-doc-3.3.117-r0.apk
2023-11-16 21:53
27K
gtkwave-3.3.117-r0.apk
2023-11-16 21:53
2.4M
gtksourceviewmm4-doc-3.91.1-r2.apk
2023-04-14 13:59
1.4M
gtksourceviewmm4-devhelp-3.91.1-r2.apk
2023-04-14 13:59
26K
gtksourceviewmm4-dev-3.91.1-r2.apk
2023-04-14 13:59
54K
gtksourceviewmm4-3.91.1-r2.apk
2023-04-14 13:59
89K
gtksourceviewmm3-doc-3.21.3-r2.apk
2023-04-14 13:59
1.3M
gtksourceviewmm3-devhelp-3.21.3-r2.apk
2023-04-14 13:59
28K
gtksourceviewmm3-dev-3.21.3-r2.apk
2023-04-14 13:59
57K
gtksourceviewmm3-3.21.3-r2.apk
2023-04-14 13:59
91K
gtklock-doc-2.1.0-r0.apk
2023-07-18 22:21
2.9K
gtklock-2.1.0-r0.apk
2023-07-18 22:21
15K
gtkhash-lang-1.5-r0.apk
2022-10-01 23:13
47K
gtkhash-1.5-r0.apk
2022-10-01 23:13
84K
gtk4-layer-shell-dev-1.0.2-r0.apk
2023-11-10 23:25
9.2K
gtk4-layer-shell-demo-1.0.2-r0.apk
2023-11-10 23:25
9.1K
gtk4-layer-shell-1.0.2-r0.apk
2023-11-10 23:25
13K
gstreamermm-dev-1.10.0-r4.apk
2022-10-25 01:23
320K
gstreamermm-1.10.0-r4.apk
2022-10-25 01:23
401K
gst-rtsp-server-dev-1.24.3-r0.apk
2024-05-07 10:20
94K
gst-rtsp-server-1.24.3-r0.apk
2024-05-07 10:20
212K
gsimplecal-doc-2.5.1-r0.apk
2024-02-10 02:12
5.8K
gsimplecal-2.5.1-r0.apk
2024-02-10 02:12
16K
gsettings-qt-dev-0.2_git20220807-r0.apk
2023-11-04 17:55
3.6K
gsettings-qt-0.2_git20220807-r0.apk
2023-11-04 17:55
38K
grpcurl-1.9.1-r1.apk
2024-05-19 02:22
7.5M
grpcui-1.4.1-r1.apk
2024-05-19 02:22
8.7M
gron-0.7.1-r17.apk
2024-05-19 02:22
2.2M
grommunio-web-3.8-r1.apk
2024-05-30 22:10
16M
grommunio-sync-2.0_git20240327-r2.apk
2024-05-30 22:10
324K
grommunio-mapi-header-php-1.3-r0.apk
2024-04-26 08:37
94K
grommunio-index-1.0-r1.apk
2024-05-24 14:41
43K
grommunio-gromox-openrc-2.28-r0.apk
2024-05-24 14:41
2.6K
grommunio-gromox-doc-2.28-r0.apk
2024-05-24 14:41
113K
grommunio-gromox-dev-2.28-r0.apk
2024-05-24 14:41
3.2K
grommunio-gromox-dbg-2.28-r0.apk
2024-05-24 14:41
32M
grommunio-gromox-2.28-r0.apk
2024-05-24 14:41
2.3M
grommunio-error-pages-1.0_git20231031-r0.apk
2024-04-26 08:37
24K
grommunio-dav-2.0_git20240327-r2.apk
2024-05-30 22:10
697K
grommunio-common-openrc-1.0-r2.apk
2024-05-30 22:10
1.7K
grommunio-common-1.0-r2.apk
2024-05-30 22:10
6.3K
grommunio-admin-web-2.9.0-r2.apk
2024-05-27 04:18
3.5M
grommunio-admin-common-1.0_git20240313-r1.apk
2024-05-30 22:10
9.2K
grommunio-admin-api-openrc-1.15-r2.apk
2024-05-30 22:10
1.8K
grommunio-admin-api-doc-1.15-r2.apk
2024-05-30 22:10
24K
grommunio-admin-api-bash-completion-1.15-r2.apk
2024-05-30 22:10
2.1K
grommunio-admin-api-1.15-r2.apk
2024-05-30 22:10
236K
grip-lang-4.2.4-r0.apk
2023-01-26 20:27
144K
grip-doc-4.2.4-r0.apk
2023-01-26 20:27
6.2K
grip-4.2.4-r0.apk
2023-01-26 20:27
372K
greetd-wlgreet-0.5.0-r0.apk
2024-04-22 04:23
556K
greetd-regreet-0.1.1-r0.apk
2023-06-15 13:47
821K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2023-08-22 12:22
3.3K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2023-08-22 12:22
2.2K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2023-08-22 12:22
18K
grcov-0.8.19-r1.apk
2023-09-11 16:51
1.6M
grass-0.12.3-r1.apk
2023-05-24 07:50
695K
granite7-lang-7.4.0-r0.apk
2023-12-22 23:28
52K
granite7-dev-7.4.0-r0.apk
2023-12-22 23:28
39K
granite7-7.4.0-r0.apk
2023-12-22 23:28
106K
gr-satellites-doc-5.5.0-r1.apk
2024-04-29 18:47
4.5K
gr-satellites-dev-5.5.0-r1.apk
2024-04-29 18:47
14K
gr-satellites-5.5.0-r1.apk
2024-04-29 18:47
829K
gpscorrelate-lang-2.0_git20230605-r0.apk
2023-06-15 13:47
16K
gpscorrelate-doc-2.0_git20230605-r0.apk
2023-06-15 13:47
171K
gpscorrelate-cli-2.0_git20230605-r0.apk
2023-06-15 13:47
19K
gpscorrelate-2.0_git20230605-r0.apk
2023-06-15 13:47
41K
gprbuild-22.0.0-r2.apk
2023-05-14 21:15
12M
gpg-remailer-doc-3.04.07-r0.apk
2022-12-30 12:43
9.5K
gpg-remailer-3.04.07-r0.apk
2022-12-30 12:43
40K
gpa-doc-0.10.0-r2.apk
2022-10-25 01:23
2.9K
gpa-0.10.0-r2.apk
2022-10-25 01:23
199K
goxel-0.12.0-r0.apk
2023-04-03 04:49
1.1M
gotestsum-1.12.0-r0.apk
2024-05-31 15:39
2.2M
gosu-1.17-r3.apk
2024-05-19 02:22
1.0M
gortr-openrc-0.14.8-r6.apk
2024-05-19 02:22
2.0K
gortr-0.14.8-r6.apk
2024-05-19 02:22
9.0M
goreman-0.3.15-r6.apk
2024-05-19 02:22
1.9M
goomwwm-1.0.0-r4.apk
2024-04-12 01:35
42K
gomp-1.0.0-r5.apk
2024-05-19 02:22
3.1M
godot-templates-4.1.3-r1.apk
2024-04-13 19:04
44M
godot-doc-4.1.3-r1.apk
2024-04-13 19:04
4.4K
godot-4.1.3-r1.apk
2024-04-13 19:04
42M
godap-zsh-completion-2.5.0-r0.apk
2024-05-23 23:50
4.0K
godap-fish-completion-2.5.0-r0.apk
2024-05-23 23:50
4.3K
godap-doc-2.5.0-r0.apk
2024-05-23 23:50
6.0K
godap-bash-completion-2.5.0-r0.apk
2024-05-23 23:50
5.0K
godap-2.5.0-r0.apk
2024-05-23 23:50
3.8M
gobuster-3.6.0-r5.apk
2024-05-19 02:22
3.0M
gobang-0.1.0_alpha5-r1.apk
2023-05-24 07:50
1.5M
go-swag-1.8.12-r5.apk
2024-05-19 02:22
4.1M
go-passbolt-cli-0.3.1-r1.apk
2024-05-19 02:22
6.2M
go-mtpfs-1.0.0-r20.apk
2024-05-19 02:22
1.1M
go-jsonnet-0.20.0-r7.apk
2024-05-19 02:22
5.5M
gnu-apl-doc-1.8-r1.apk
2024-03-13 22:50
883K
gnu-apl-dev-1.8-r1.apk
2024-03-13 22:50
550K
gnu-apl-1.8-r1.apk
2024-03-13 22:50
1.0M
gnome-metronome-lang-1.3.0-r0.apk
2023-06-15 13:46
25K
gnome-metronome-1.3.0-r0.apk
2023-06-15 13:46
411K
gnome-latex-lang-3.44.0-r5.apk
2023-10-31 12:13
397K
gnome-latex-doc-3.44.0-r5.apk
2023-10-31 12:13
112K
gnome-latex-3.44.0-r5.apk
2023-10-31 12:13
344K
gnome-common-3.18.0-r3.apk
2023-01-29 22:03
12K
gmid-openrc-2.0.3-r0.apk
2024-06-04 19:07
2.3K
gmid-doc-2.0.3-r0.apk
2024-06-04 19:07
14K
gmid-2.0.3-r0.apk
2024-06-04 19:07
187K
gmic-qt-3.3.5-r0.apk
2024-04-26 15:45
1.5M
gmic-libs-3.3.5-r0.apk
2024-04-26 15:45
4.0M
gmic-doc-3.3.5-r0.apk
2024-04-26 15:45
219K
gmic-dev-3.3.5-r0.apk
2024-04-26 15:45
7.8K
gmic-bash-completion-3.3.5-r0.apk
2024-04-26 15:45
28K
gmic-3.3.5-r0.apk
2024-04-26 15:45
11M
gmenuharness-dev-0.1.4-r0.apk
2023-11-05 17:34
4.3K
gmenuharness-0.1.4-r0.apk
2023-11-05 17:34
32K
glslviewer-3.2.4-r0.apk
2023-11-13 22:09
1.5M
glow-zsh-completion-1.5.1-r7.apk
2024-05-19 02:22
4.0K
glow-fish-completion-1.5.1-r7.apk
2024-05-19 02:22
3.9K
glow-bash-completion-1.5.1-r7.apk
2024-05-19 02:22
5.0K
glow-1.5.1-r7.apk
2024-05-19 02:22
6.5M
gloox-dev-1.0.28-r0.apk
2023-11-24 01:24
896K
gloox-1.0.28-r0.apk
2023-11-24 01:24
332K
glmark2-doc-2023.01-r0.apk
2023-05-18 23:55
13K
glmark2-2023.01-r0.apk
2023-05-18 23:55
7.8M
glfw-wayland-dev-3.3.8-r3.apk
2023-07-04 02:01
46K
glfw-wayland-dbg-3.3.8-r3.apk
2023-07-04 02:01
201K
glfw-wayland-3.3.8-r3.apk
2023-07-04 02:01
57K
git2json-pyc-0.2.3-r8.apk
2024-04-16 01:59
5.7K
git2json-0.2.3-r8.apk
2024-04-16 01:59
7.4K
git-secret-doc-0.5.0-r0.apk
2022-10-28 16:18
17K
git-secret-0.5.0-r0.apk
2022-10-28 16:18
15K
git-revise-pyc-0.7.0-r4.apk
2024-04-16 01:59
42K
git-revise-doc-0.7.0-r4.apk
2024-04-16 01:59
5.0K
git-revise-0.7.0-r4.apk
2024-04-16 01:59
23K
git-quick-stats-doc-2.5.6-r0.apk
2024-05-19 17:27
2.9K
git-quick-stats-2.5.6-r0.apk
2024-05-19 17:27
11K
git-filter-repo-doc-2.38.0-r0.apk
2023-10-14 23:16
22K
git-filter-repo-2.38.0-r0.apk
2023-10-14 23:16
45K
git-extras-doc-7.2.0-r0.apk
2024-05-13 10:06
64K
git-extras-bash-completion-7.2.0-r0.apk
2024-05-13 10:06
2.9K
git-extras-7.2.0-r0.apk
2024-05-13 10:06
57K
git-cola-pyc-4.4.1-r1.apk
2024-04-16 01:59
739K
git-cola-doc-4.4.1-r1.apk
2024-04-16 01:59
5.9K
git-cola-4.4.1-r1.apk
2024-04-16 01:59
826K
git-bug-zsh-completion-0.8.0-r12.apk
2024-05-19 02:22
4.0K
git-bug-fish-completion-0.8.0-r12.apk
2024-05-19 02:22
3.9K
git-bug-doc-0.8.0-r12.apk
2024-05-19 02:22
17K
git-bug-bash-completion-0.8.0-r12.apk
2024-05-19 02:22
5.2K
git-bug-0.8.0-r12.apk
2024-05-19 02:22
8.6M
gingerbase-pyc-2.3.0-r7.apk
2024-04-16 01:59
61K
gingerbase-lang-2.3.0-r7.apk
2024-04-16 01:59
53K
gingerbase-2.3.0-r7.apk
2024-04-16 01:59
195K
ginger-pyc-2.4.0-r7.apk
2024-04-16 01:59
207K
ginger-lang-2.4.0-r7.apk
2024-04-16 01:59
125K
ginger-2.4.0-r7.apk
2024-04-16 01:59
257K
ginac-doc-1.8.7-r1.apk
2024-01-28 15:27
98K
ginac-dev-1.8.7-r1.apk
2024-01-28 15:27
70K
ginac-1.8.7-r1.apk
2024-01-28 15:27
1.0M
gimp-plugin-gmic-3.3.5-r0.apk
2024-04-26 15:45
1.1M
ghq-zsh-completion-1.6.1-r1.apk
2024-05-19 02:22
2.4K
ghq-fish-completion-1.6.1-r1.apk
2024-05-19 02:22
2.5K
ghq-doc-1.6.1-r1.apk
2024-05-19 02:22
5.4K
ghq-bash-completion-1.6.1-r1.apk
2024-05-19 02:22
1.8K
ghq-1.6.1-r1.apk
2024-05-19 02:22
3.2M
ghostcloud-0.9.9.5-r2.apk
2024-04-30 14:28
414K
gforth-doc-0.7.3-r3.apk
2021-10-15 01:01
274K
gforth-0.7.3-r3.apk
2021-10-15 01:01
626K
gf2x-dev-1.3.0-r0.apk
2021-02-15 13:59
56K
gf2x-1.3.0-r0.apk
2021-02-15 13:59
34K
getting-things-gnome-lang-0.6-r3.apk
2024-04-16 01:59
230K
getting-things-gnome-doc-0.6-r3.apk
2024-04-16 01:59
498K
getting-things-gnome-0.6-r3.apk
2024-04-16 01:59
723K
getssl-2.48-r0.apk
2024-02-16 14:59
82K
getmail6-pyc-6.19.01-r0.apk
2024-06-08 12:28
102K
getmail6-doc-6.19.01-r0.apk
2024-06-08 12:28
137K
getmail6-6.19.01-r0.apk
2024-06-08 12:28
71K
getdns-libs-1.7.3-r0.apk
2023-02-22 18:26
155K
getdns-doc-1.7.3-r0.apk
2023-02-22 18:26
102K
getdns-dev-1.7.3-r0.apk
2023-02-22 18:26
34K
getdns-1.7.3-r0.apk
2023-02-22 18:26
29K
geonames-lang-0.3.1-r1.apk
2024-04-17 16:47
4.6M
geonames-doc-0.3.1-r1.apk
2024-04-17 16:47
13K
geonames-dev-0.3.1-r1.apk
2024-04-17 16:47
3.0K
geonames-0.3.1-r1.apk
2024-04-17 16:47
826K
geomyidae-openrc-0.34-r2.apk
2022-10-25 01:23
2.0K
geomyidae-doc-0.34-r2.apk
2022-10-25 01:23
7.7K
geomyidae-0.34-r2.apk
2022-10-25 01:23
13K
geodns-openrc-3.3.0-r6.apk
2024-05-19 02:22
1.8K
geodns-logs-3.3.0-r6.apk
2024-05-19 02:22
3.9M
geodns-3.3.0-r6.apk
2024-05-19 02:22
4.3M
genact-1.4.2-r0.apk
2024-02-20 22:50
1.3M
gedit-plugins-pyc-47.1-r0.apk
2024-05-27 07:44
98K
gedit-plugins-lang-47.1-r0.apk
2024-05-27 07:44
571K
gedit-plugins-doc-47.1-r0.apk
2024-05-27 07:44
204K
gedit-plugins-47.1-r0.apk
2024-05-27 07:44
130K
gedit-lang-47.0-r0.apk
2024-05-27 07:44
2.2M
gedit-doc-47.0-r0.apk
2024-05-27 07:44
752K
gedit-dev-47.0-r0.apk
2024-05-27 07:44
11K
gedit-47.0-r0.apk
2024-05-27 07:44
516K
gede-2.18.2-r1.apk
2023-12-19 15:36
241K
gearmand-openrc-1.1.21-r1.apk
2024-04-22 19:49
1.8K
gearmand-doc-1.1.21-r1.apk
2024-04-22 19:49
190K
gearmand-1.1.21-r1.apk
2024-04-22 19:49
153K
gearman-libs-1.1.21-r1.apk
2024-04-22 19:49
67K
gearman-dev-1.1.21-r1.apk
2024-04-22 19:49
1.0M
gdm-settings-lang-4.3-r1.apk
2024-04-16 01:59
155K
gdm-settings-4.3-r1.apk
2024-04-16 01:59
152K
gdcm-doc-pdf-3.0.24-r0.apk
2024-05-16 19:54
14M
gdcm-doc-html-3.0.24-r0.apk
2024-05-16 19:54
8.7M
gdcm-doc-3.0.24-r0.apk
2024-05-16 19:54
55K
gdcm-dev-3.0.24-r0.apk
2024-05-16 19:54
463K
gdcm-3.0.24-r0.apk
2024-05-16 19:54
354K
gb-0.4.4-r24.apk
2024-05-19 02:22
6.1M
gaupol-pyc-1.12-r2.apk
2024-04-16 01:59
419K
gaupol-lang-1.12-r2.apk
2024-04-16 01:59
277K
gaupol-doc-1.12-r2.apk
2024-04-16 01:59
2.4K
gaupol-1.12-r2.apk
2024-04-16 01:59
276K
gatling-openrc-0.16-r4.apk
2023-08-07 22:57
2.8K
gatling-doc-0.16-r4.apk
2023-08-07 22:57
9.1K
gatling-0.16-r4.apk
2023-08-07 22:57
136K
gammastep-pyc-2.0.9-r3.apk
2024-04-16 01:59
17K
gammastep-lang-2.0.9-r3.apk
2024-04-16 01:59
78K
gammastep-doc-2.0.9-r3.apk
2024-04-16 01:59
14K
gammastep-2.0.9-r3.apk
2024-04-16 01:59
87K
gamja-doc-1.0.0_beta9-r0.apk
2023-11-26 23:35
2.2K
gamja-1.0.0_beta9-r0.apk
2023-11-26 23:35
599K
gamescope-3.12.0-r1.apk
2023-11-26 00:40
414K
gamemode-doc-0_git20240327-r0.apk
2024-06-04 13:51
7.6K
gamemode-dev-0_git20240327-r0.apk
2024-06-04 13:51
5.2K
gamemode-0_git20240327-r0.apk
2024-06-04 13:51
69K
game-devices-udev-0.22-r2.apk
2023-10-31 12:13
6.8K
gambit-doc-4.9.5-r0.apk
2024-01-21 14:55
4.3K
gambit-dev-4.9.5-r0.apk
2024-01-21 14:55
6.6M
gambit-4.9.5-r0.apk
2024-01-21 14:55
7.4M
g4music-lang-3.6-r0.apk
2024-06-02 16:54
36K
g4music-3.6-r0.apk
2024-06-02 16:54
149K
fzy-doc-1.0-r3.apk
2022-10-25 01:23
2.7K
fzy-1.0-r3.apk
2022-10-25 01:23
11K
fusee-nano-udev-0.5.3-r0.apk
2023-12-31 19:28
1.7K
fusee-nano-0.5.3-r0.apk
2023-12-31 19:28
20K
fulcrum-doc-1.9.8-r0.apk
2024-02-12 23:45
22K
fulcrum-admin-1.9.8-r0.apk
2024-02-12 23:45
7.9K
fulcrum-1.9.8-r0.apk
2024-02-12 23:45
792K
freshrss-themes-1.23.1-r1.apk
2024-03-18 07:42
1.5M
freshrss-sqlite-1.23.1-r1.apk
2024-03-18 07:42
1.4K
freshrss-pgsql-1.23.1-r1.apk
2024-03-18 07:42
1.4K
freshrss-openrc-1.23.1-r1.apk
2024-03-18 07:42
2.5K
freshrss-mysql-1.23.1-r1.apk
2024-03-18 07:42
1.4K
freshrss-lang-1.23.1-r1.apk
2024-03-18 07:42
379K
freshrss-doc-1.23.1-r1.apk
2024-03-18 07:42
751K
freshrss-1.23.1-r1.apk
2024-03-18 07:42
1.5M
frescobaldi-pyc-3.3.0-r1.apk
2024-04-16 01:59
1.2M
frescobaldi-doc-3.3.0-r1.apk
2024-04-16 01:59
2.5K
frescobaldi-3.3.0-r1.apk
2024-04-16 01:59
3.5M
freedoom-doc-0.12.1-r2.apk
2023-10-31 12:13
58K
freedoom-0.12.1-r2.apk
2023-10-31 12:13
12M
freediameter-libfdproto-1.5.0-r1.apk
2022-11-06 11:59
93K
freediameter-libfdcore-1.5.0-r1.apk
2022-11-06 11:59
180K
freediameter-extensions-1.5.0-r1.apk
2022-11-06 11:59
427K
freediameter-dev-1.5.0-r1.apk
2022-11-06 11:59
54K
freediameter-1.5.0-r1.apk
2022-11-06 11:59
9.2K
freealut-dev-1.1.0-r1.apk
2022-07-26 04:56
21K
freealut-1.1.0-r1.apk
2022-07-26 04:56
16K
fq-0.10.0-r4.apk
2024-05-19 02:22
4.3M
fpp-doc-0.9.5-r0.apk
2022-02-15 00:16
5.6K
fpp-0.9.5-r0.apk
2022-02-15 00:16
29K
fplll-strategies-5.4.5-r0.apk
2023-10-22 10:06
1.7M
fplll-static-5.4.5-r0.apk
2023-10-22 10:06
8.1M
fplll-libs-5.4.5-r0.apk
2023-10-22 10:06
6.4M
fplll-dev-5.4.5-r0.apk
2023-10-22 10:06
79K
fplll-5.4.5-r0.apk
2023-10-22 10:06
43K
fpc-stage0-3.2.2-r1.apk
2021-12-25 11:37
6.2M
fpc-doc-3.2.2-r2.apk
2022-08-07 17:18
1.2M
fpc-3.2.2-r2.apk
2022-08-07 17:18
69M
fox-utils-1.6.57-r0.apk
2022-08-08 12:57
6.4K
fox-shutterbug-1.6.57-r0.apk
2022-08-08 12:57
18K
fox-pathfinder-1.6.57-r0.apk
2022-08-08 12:57
46K
fox-doc-1.6.57-r0.apk
2022-08-08 12:57
2.0M
fox-dev-1.6.57-r0.apk
2022-08-08 12:57
1.5M
fox-calculator-1.6.57-r0.apk
2022-08-08 12:57
29K
fox-adie-1.6.57-r0.apk
2022-08-08 12:57
115K
fox-1.6.57-r0.apk
2022-08-08 12:57
878K
forgejo-openrc-7.0.3-r0.apk
2024-05-22 23:39
1.8K
forgejo-7.0.3-r0.apk
2024-05-22 23:39
41M
foolsm-openrc-1.0.21-r0.apk
2022-05-21 14:41
1.5K
foolsm-doc-1.0.21-r0.apk
2022-05-21 14:41
3.9K
foolsm-1.0.21-r0.apk
2022-05-21 14:41
31K
font-tiresias-doc-0_git20200704-r0.apk
2023-01-02 23:41
58K
font-tiresias-0_git20200704-r0.apk
2023-01-02 23:41
568K
font-tinos-0_git20210228-r0.apk
2024-02-23 03:13
199K
font-teluguvijayam-20190525-r1.apk
2022-08-21 17:19
3.7M
font-tamzen-1.11.5-r1.apk
2022-10-08 17:24
62K
font-stix-ttf-2.13-r0.apk
2024-02-23 03:13
430K
font-stix-otf-2.13-r0.apk
2024-02-23 03:13
2.0M
font-siji-20190218_git-r2.apk
2022-10-08 17:24
24K
font-raleway-ttf-4.101-r1.apk
2021-11-22 15:08
1.6M
font-raleway-otf-4.101-r1.apk
2021-11-22 15:08
1.3M
font-raleway-4.101-r1.apk
2021-11-22 15:08
1.2K
font-monocraft-3.0-r0.apk
2023-06-15 13:46
42K
font-monaspace-xenon-1.000-r0.apk
2023-11-11 17:12
2.3M
font-monaspace-radon-1.000-r0.apk
2023-11-11 17:12
2.7M
font-monaspace-neon-1.000-r0.apk
2023-11-11 17:12
2.1M
font-monaspace-krypton-1.000-r0.apk
2023-11-11 17:12
2.0M
font-monaspace-argon-1.000-r0.apk
2023-11-11 17:12
2.1M
font-monaspace-1.000-r0.apk
2023-11-11 17:12
1.5K
font-material-icons-4.0.0-r0.apk
2023-02-27 01:05
652K
font-katex-0.16.2-r0.apk
2022-09-20 21:08
852K
font-intel-one-mono-1.3.0-r0.apk
2023-09-17 18:44
281K
font-hanazono-20170904-r1.apk
2024-01-06 23:25
29M
font-fontawesome-4-4.7.0-r3.apk
2022-10-11 04:13
205K
font-firamath-0.3.4-r0.apk
2022-08-18 21:18
118K
font-fira-ttf-4.202-r0.apk
2021-12-04 03:50
6.2M
font-fira-otf-4.202-r0.apk
2021-12-04 03:50
7.4M
font-fira-code-vf-6.2-r0.apk
2022-07-24 01:12
145K
font-fira-code-6.2-r0.apk
2022-07-24 01:12
836K
font-fira-4.202-r0.apk
2021-12-04 03:50
1.2K
font-fantasque-sans-normal-1.8.0-r0.apk
2020-07-23 01:58
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2020-07-23 01:58
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2020-07-23 01:58
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2020-07-23 01:58
316K
font-fantasque-sans-doc-1.8.0-r0.apk
2020-07-23 01:58
5.5K
font-fantasque-sans-1.8.0-r0.apk
2020-07-23 01:58
1.2K
font-cousine-0_git20210228-r0.apk
2024-02-23 03:13
110K
font-commit-mono-1.143-r0.apk
2023-12-31 16:43
251K
font-comic-neue-doc-2.51-r0.apk
2021-02-18 18:36
1.0M
font-comic-neue-2.51-r0.apk
2021-02-18 18:36
249K
font-chivo-mono-0_git20221110-r0.apk
2022-12-09 23:19
626K
font-chivo-0_git20221110-r0.apk
2022-12-09 23:19
792K
font-babelstone-han-15.1.3-r0.apk
2024-01-11 00:29
18M
font-anonymous-pro-1.002-r2.apk
2022-10-11 04:13
264K
font-andika-6.200-r0.apk
2024-04-28 21:24
1.5M
foma-dev-0.10.0_git20221230-r0.apk
2023-06-15 13:46
8.5K
foma-0.10.0_git20221230-r0.apk
2023-06-15 13:46
285K
fnf-doc-0.1-r0.apk
2024-03-11 07:24
4.6K
fnf-0.1-r0.apk
2024-03-11 07:24
14K
flux-zsh-completion-2.2.3-r3.apk
2024-05-19 02:22
4.0K
flux-fish-completion-2.2.3-r3.apk
2024-05-19 02:22
4.3K
flux-bash-completion-2.2.3-r3.apk
2024-05-19 02:22
13K
flux-2.2.3-r3.apk
2024-05-19 02:22
26M
flowd-openrc-0.9.1-r9.apk
2023-07-04 00:43
1.9K
flowd-doc-0.9.1-r9.apk
2023-07-04 00:43
10K
flowd-dev-0.9.1-r9.apk
2023-07-04 00:43
8.3K
flowd-0.9.1-r9.apk
2023-07-04 00:43
75K
flintqs-1.0-r1.apk
2023-08-01 16:54
20K
flint-dev-2.9.0-r1.apk
2023-01-07 12:39
311K
flint-2.9.0-r1.apk
2023-01-07 12:39
4.0M
flawz-zsh-completion-0.2.1-r0.apk
2024-06-02 22:58
2.2K
flawz-fish-completion-0.2.1-r0.apk
2024-06-02 22:58
1.9K
flawz-doc-0.2.1-r0.apk
2024-06-02 22:58
5.9K
flawz-bash-completion-0.2.1-r0.apk
2024-06-02 22:58
2.2K
flawz-0.2.1-r0.apk
2024-06-02 22:58
1.1M
flauschige-uhr-0.1-r1.apk
2022-10-25 01:23
4.1K
flatpak-xdg-utils-1.0.5-r0.apk
2023-08-22 17:51
18K
flare-game-1.14-r0.apk
2023-01-26 20:28
2.2K
flare-engine-doc-1.14-r0.apk
2023-01-26 20:28
2.5K
flare-engine-1.14-r0.apk
2023-01-26 20:28
4.4M
flann-doc-1.9.1-r4.apk
2022-07-26 08:46
2.6K
flann-dev-1.9.1-r4.apk
2022-07-26 08:46
1.3M
flann-1.9.1-r4.apk
2022-07-26 08:46
722K
flamegraph-1.0_git20220918-r1.apk
2023-12-19 15:36
38K
firewalld-zsh-completion-2.1.2-r0.apk
2024-04-13 19:04
6.2K
firewalld-openrc-2.1.2-r0.apk
2024-04-13 19:04
1.8K
firewalld-lang-2.1.2-r0.apk
2024-04-13 19:04
541K
firewalld-gui-2.1.2-r0.apk
2024-04-13 19:04
110K
firewalld-doc-2.1.2-r0.apk
2024-04-13 19:04
80K
firewalld-bash-completion-2.1.2-r0.apk
2024-04-13 19:04
4.2K
firewalld-2.1.2-r0.apk
2024-04-13 19:04
1.0M
firehol-openrc-3.1.7-r2.apk
2023-05-13 19:58
2.1K
firehol-doc-3.1.7-r2.apk
2023-05-13 19:58
675K
firehol-3.1.7-r2.apk
2023-05-13 19:58
85K
finger-doc-0.5-r0.apk
2024-03-11 07:24
3.8K
finger-0.5-r0.apk
2024-03-11 07:24
6.1K
findtow-0.1-r0.apk
2022-01-27 02:32
4.3K
filite-0.3.0-r2.apk
2023-05-24 07:50
1.0M
fileshelter-openrc-5.1.2-r4.apk
2024-04-22 19:49
1.7K
fileshelter-5.1.2-r4.apk
2024-04-22 19:49
331K
fig2dev-doc-3.2.8b-r0.apk
2022-03-11 11:37
22K
fig2dev-3.2.8b-r0.apk
2022-03-11 11:37
239K
fheroes2-lang-1.0.13-r0.apk
2024-03-16 22:34
1.4M
fheroes2-1.0.13-r0.apk
2024-03-16 22:34
1.3M
ffsend-zsh-completion-0.2.76-r4.apk
2023-07-02 19:37
4.5K
ffsend-fish-completion-0.2.76-r4.apk
2023-07-02 19:37
3.5K
ffsend-bash-completion-0.2.76-r4.apk
2023-07-02 19:37
3.6K
ffsend-0.2.76-r4.apk
2023-07-02 19:37
1.4M
ffms2-doc-2.40-r0.apk
2023-12-30 14:46
30K
ffms2-dev-2.40-r0.apk
2023-12-30 14:46
7.5K
ffms2-2.40-r0.apk
2023-12-30 14:46
58K
fflas-ffpack-2.5.0-r3.apk
2023-08-01 16:54
345K
fff-doc-2.2-r0.apk
2022-06-15 22:50
9.0K
fff-2.2-r0.apk
2022-06-15 22:50
11K
felix-2.13.0-r0.apk
2024-05-04 14:29
502K
featherpad-lang-1.5.1-r0.apk
2024-05-10 02:42
463K
featherpad-1.5.1-r0.apk
2024-05-10 02:42
643K
fdm-materials-5.2.2-r0.apk
2023-01-05 06:19
60K
fdm-doc-2.2-r0.apk
2023-03-19 06:16
13K
fdm-2.2-r0.apk
2023-03-19 06:16
101K
fcitx5-unikey-lang-5.1.4-r0.apk
2024-05-10 05:33
14K
fcitx5-unikey-5.1.4-r0.apk
2024-05-10 05:33
118K
fcitx5-table-other-5.1.2-r0.apk
2024-05-10 05:33
1.4M
fcitx5-table-extra-5.1.5-r0.apk
2024-05-10 05:33
42M
fcitx5-rime-lang-5.1.6-r0.apk
2024-05-10 05:33
8.8K
fcitx5-rime-5.1.6-r0.apk
2024-05-10 05:33
69K
fcitx5-qt-qt6-5.1.6-r0.apk
2024-05-10 05:33
207K
fcitx5-qt-qt5-5.1.6-r0.apk
2024-05-10 05:33
168K
fcitx5-qt-lang-5.1.6-r0.apk
2024-05-10 05:33
13K
fcitx5-qt-dev-5.1.6-r0.apk
2024-05-10 05:33
23K
fcitx5-qt-5.1.6-r0.apk
2024-05-10 05:33
53K
fcitx5-m17n-lang-5.1.1-r0.apk
2024-05-10 05:33
6.2K
fcitx5-m17n-5.1.1-r0.apk
2024-05-10 05:33
57K
fcitx5-lua-lang-5.0.13-r0.apk
2024-05-10 05:33
3.8K
fcitx5-lua-dev-5.0.13-r0.apk
2024-05-10 05:33
3.2K
fcitx5-lua-5.0.13-r0.apk
2024-05-10 05:33
33K
fcitx5-lang-5.1.9-r0.apk
2024-04-25 21:24
154K
fcitx5-kkc-lang-5.1.3-r0.apk
2024-05-10 05:33
11K
fcitx5-kkc-5.1.3-r0.apk
2024-05-10 05:33
73K
fcitx5-hangul-lang-5.1.3-r0.apk
2024-05-10 05:33
6.9K
fcitx5-hangul-5.1.3-r0.apk
2024-05-10 05:33
45K
fcitx5-gtk4-5.1.3-r0.apk
2024-05-10 05:33
29K
fcitx5-gtk3-5.1.3-r0.apk
2024-05-10 05:33
30K
fcitx5-gtk-dev-5.1.3-r0.apk
2024-05-10 05:33
10K
fcitx5-gtk-5.1.3-r0.apk
2024-05-10 05:33
16K
fcitx5-dev-5.1.9-r0.apk
2024-04-25 21:24
135K
fcitx5-configtool-lang-5.1.5-r0.apk
2024-05-10 05:33
47K
fcitx5-configtool-5.1.5-r0.apk
2024-05-10 05:33
477K
fcitx5-chinese-addons-lang-5.1.5-r0.apk
2024-05-10 05:33
47K
fcitx5-chinese-addons-dev-5.1.5-r0.apk
2024-05-10 05:33
5.4K
fcitx5-chinese-addons-5.1.5-r0.apk
2024-05-10 05:33
1.6M
fcitx5-chewing-lang-5.1.2-r0.apk
2024-05-10 05:33
9.2K
fcitx5-chewing-5.1.2-r0.apk
2024-05-10 05:33
28K
fcitx5-bamboo-lang-1.0.5-r1.apk
2024-05-19 02:22
5.8K
fcitx5-bamboo-1.0.5-r1.apk
2024-05-19 02:22
687K
fcitx5-anthy-lang-5.1.4-r0.apk
2024-05-10 05:33
22K
fcitx5-anthy-5.1.4-r0.apk
2024-05-10 05:33
134K
fcitx5-5.1.9-r0.apk
2024-04-25 21:24
8.6M
fceux-doc-2.6.6-r2.apk
2024-05-25 15:02
106K
fceux-2.6.6-r2.apk
2024-05-25 15:02
2.8M
fava-pyc-1.26.4-r0.apk
2024-01-19 23:43
158K
fava-1.26.4-r0.apk
2024-01-19 23:43
1.8M
faust-vim-2.60.3-r2.apk
2023-07-02 19:37
2.6K
faust-tools-2.60.3-r2.apk
2023-07-02 19:37
118K
faust-static-2.60.3-r2.apk
2023-07-02 19:37
517K
faust-doc-2.60.3-r2.apk
2023-07-02 19:37
17M
faust-dev-2.60.3-r2.apk
2023-07-02 19:37
771K
faust-2.60.3-r2.apk
2023-07-02 19:37
7.7M
faultstat-doc-0.01.11-r0.apk
2024-01-13 13:40
3.0K
faultstat-bash-completion-0.01.11-r0.apk
2024-01-13 13:40
2.3K
faultstat-0.01.11-r0.apk
2024-01-13 13:40
12K
fatresize-doc-1.1.0-r1.apk
2022-10-25 01:23
15K
fatresize-1.1.0-r1.apk
2022-10-25 01:23
8.5K
fatrace-doc-0.17.0-r0.apk
2022-11-17 07:58
3.3K
fatrace-0.17.0-r0.apk
2022-11-17 07:58
10K
fathom-1.3.1-r6.apk
2024-05-19 02:22
4.3M
fatback-doc-1.3-r2.apk
2022-10-25 01:23
16K
fatback-1.3-r2.apk
2022-10-25 01:23
24K
fastd-openrc-22-r3.apk
2023-10-22 05:37
1.7K
fastd-doc-22-r3.apk
2023-10-22 05:37
3.3K
fastd-22-r3.apk
2023-10-22 05:37
64K
fast_float-5.2.0-r1.apk
2023-08-01 16:54
43K
fakeroot-tcp-1.32.1-r1.apk
2023-08-05 19:12
25K
fabric-pyc-3.2.2-r1.apk
2024-04-16 01:59
60K
fabric-3.2.2-r1.apk
2024-04-16 01:59
55K
f_scripts-f_youtube-0.6-r0.apk
2024-05-29 18:24
2.8K
f_scripts-f_web-0.6-r0.apk
2024-05-29 18:24
2.9K
f_scripts-f_timer-0.6-r0.apk
2024-05-29 18:24
2.4K
f_scripts-f_theme-0.6-r0.apk
2024-05-29 18:24
2.6K
f_scripts-f_rss-0.6-r0.apk
2024-05-29 18:24
2.5K
f_scripts-f_phone-0.6-r0.apk
2024-05-29 18:24
6.0K
f_scripts-f_networks-0.6-r0.apk
2024-05-29 18:24
3.0K
f_scripts-f_maps-0.6-r0.apk
2024-05-29 18:24
2.1K
f_scripts-f_game-0.6-r0.apk
2024-05-29 18:24
1.9K
f_scripts-f_files-0.6-r0.apk
2024-05-29 18:24
2.9K
f_scripts-f_audio-0.6-r0.apk
2024-05-29 18:24
3.3K
f_scripts-0.6-r0.apk
2024-05-29 18:24
1.4K
extundelete-0.2.4-r1.apk
2022-10-14 16:53
39K
extremetuxracer-doc-0.8.3-r0.apk
2023-07-25 15:03
6.7K
extremetuxracer-0.8.3-r0.apk
2023-07-25 15:03
40M
extrace-doc-0.9-r0.apk
2024-04-18 21:38
3.5K
extrace-0.9-r0.apk
2024-04-18 21:38
9.7K
exercism-zsh-completion-3.2.0-r5.apk
2024-05-19 02:22
2.1K
exercism-fish-completion-3.2.0-r5.apk
2024-05-19 02:22
2.4K
exercism-bash-completion-3.2.0-r5.apk
2024-05-19 02:22
2.0K
exercism-3.2.0-r5.apk
2024-05-19 02:22
3.6M
exabgp-pyc-4.2.21-r4.apk
2024-04-16 01:59
897K
exabgp-openrc-4.2.21-r4.apk
2024-04-16 01:59
2.3K
exabgp-doc-4.2.21-r4.apk
2024-04-16 01:59
8.1K
exabgp-4.2.21-r4.apk
2024-04-16 01:59
436K
eva-0.3.1-r2.apk
2023-05-24 07:50
555K
ettercap-doc-0.8.3.1-r2.apk
2022-10-18 05:56
45K
ettercap-0.8.3.1-r2.apk
2022-10-18 05:56
594K
etcd-openrc-3.5.13-r2.apk
2024-05-19 02:22
2.1K
etcd-doc-3.5.13-r2.apk
2024-05-19 02:22
5.5K
etcd-ctl-3.5.13-r2.apk
2024-05-19 02:22
6.1M
etcd-3.5.13-r2.apk
2024-05-19 02:22
7.9M
esptool-pyc-4.7.0-r1.apk
2024-04-16 01:59
446K
esptool-4.7.0-r1.apk
2024-04-16 01:59
297K
espeakup-openrc-0.90-r2.apk
2024-03-13 22:50
1.8K
espeakup-0.90-r2.apk
2024-03-13 22:50
10K
ergo-ldap-doc-0.0.1-r10.apk
2024-05-19 02:22
2.3K
ergo-ldap-0.0.1-r10.apk
2024-05-19 02:22
1.8M
epr-pyc-2.4.15-r1.apk
2024-04-16 01:59
24K
epr-2.4.15-r1.apk
2024-04-16 01:59
16K
epoch-1.3.0-r1.apk
2022-10-25 01:23
58K
envsubst-0.1-r1.apk
2022-06-28 07:27
4.0K
envconsul-0.13.2-r6.apk
2024-05-19 02:22
4.3M
enlighten-doc-0.9.2-r1.apk
2022-10-25 01:23
3.5K
enlighten-0.9.2-r1.apk
2022-10-25 01:23
7.0K
enjoy-0.3-r1.apk
2023-02-03 02:29
10K
endlessh-doc-1.1-r0.apk
2022-02-20 19:53
2.4K
endlessh-1.1-r0.apk
2022-02-20 19:53
8.8K
endless-sky-doc-0.9.16.1-r0.apk
2022-10-21 12:41
24K
endless-sky-0.9.16.1-r0.apk
2022-10-21 12:41
175M
endeavour-lang-43.0-r1.apk
2024-03-23 20:11
205K
endeavour-doc-43.0-r1.apk
2024-03-23 20:11
75K
endeavour-dev-43.0-r1.apk
2024-03-23 20:11
47K
endeavour-43.0-r1.apk
2024-03-23 20:11
170K
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-05-21 18:14
3.3M
emulationstation-2.11.2-r1.apk
2024-05-21 18:14
1.1M
empede-openrc-0.2.3-r0.apk
2024-01-07 02:46
1.9K
empede-doc-0.2.3-r0.apk
2024-01-07 02:46
2.3K
empede-0.2.3-r0.apk
2024-01-07 02:46
1.5M
emacs-taxy-magit-section-0.13-r0.apk
2024-04-02 04:42
17K
emacs-taxy-0.10.1-r0.apk
2024-04-02 04:42
11K
emacs-svg-lib-0_git20240219-r0.apk
2024-04-02 04:42
19K
emacs-powerline-2.4_git20221110-r0.apk
2024-04-02 04:42
29K
emacs-persist-0.6_git20240114-r0.apk
2024-04-02 04:42
6.6K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-04-02 04:42
46K
emacs-hnreader-0_git20221116-r0.apk
2024-04-02 04:42
9.8K
emacs-helm-3.9.7_git20240329-r0.apk
2024-04-02 04:42
815K
emacs-hackernews-0.7.0-r0.apk
2024-04-02 04:42
16K
emacs-fossil-0_git20230504-r0.apk
2024-05-05 08:26
15K
emacs-ement-0.14_git20240320-r0.apk
2024-04-02 04:42
284K
emacs-embark-consult-1.0_git20240327-r0.apk
2024-04-09 16:45
10K
emacs-embark-1.0_git20240327-r0.apk
2024-04-09 16:45
110K
emacs-elfeed-3.4.1_git20240326-r0.apk
2024-04-02 04:42
91K
emacs-derl-0_git20231004-r0.apk
2024-05-05 08:26
23K
emacs-consult-1.4_git20240405-r0.apk
2024-04-09 16:45
138K
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-04-02 04:42
55K
emacs-avy-embark-collect-1.0_git20240327-r0.apk
2024-04-09 16:45
3.9K
emacs-avy-0.5.0_git20230420-r0.apk
2024-04-02 04:42
43K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-04-02 04:42
23K
eludris-doc-0.3.3-r1.apk
2023-06-15 13:46
2.3K
eludris-0.3.3-r1.apk
2023-06-15 13:46
1.5M
elfio-dev-3.12-r0.apk
2023-08-30 06:54
55K
elfio-3.12-r0.apk
2023-08-30 06:54
1.4K
elf_diff-pyc-0.7.1-r1.apk
2024-04-16 01:59
108K
elf_diff-0.7.1-r1.apk
2024-04-16 01:59
94K
elementary-photos-publishing-2.8.0-r1.apk
2023-04-29 19:30
206K
elementary-photos-lang-2.8.0-r1.apk
2023-04-29 19:30
1.3M
elementary-photos-2.8.0-r1.apk
2023-04-29 19:30
1.0M
eiwd-openrc-2.16-r0.apk
2024-03-20 21:07
1.9K
eiwd-doc-2.16-r0.apk
2024-03-20 21:07
20K
eiwd-2.16-r0.apk
2024-03-20 21:07
679K
efl-gdb-1.27.0-r1.apk
2024-04-12 01:35
1.8K
efl-dev-1.27.0-r1.apk
2024-04-12 01:35
1.9M
efl-1.27.0-r1.apk
2024-04-12 01:35
33M
edward-doc-1.0.1-r1.apk
2023-12-18 16:21
5.1K
edward-1.0.1-r1.apk
2023-12-18 16:21
1.7M
ecos-dev-2.0.10-r0.apk
2022-05-23 22:15
28K
ecos-2.0.10-r0.apk
2022-05-23 22:15
35K
ecm-doc-7.0.5-r1.apk
2023-05-15 18:03
7.2K
ecm-dev-7.0.5-r1.apk
2023-05-15 18:03
4.9K
ecm-7.0.5-r1.apk
2023-05-15 18:03
121K
ecasound-doc-2.9.3-r3.apk
2023-09-25 21:23
39K
ecasound-dev-2.9.3-r3.apk
2023-09-25 21:23
1.1M
ecasound-2.9.3-r3.apk
2023-09-25 21:23
621K
eboard-doc-1.1.3-r1.apk
2023-07-29 21:36
4.6K
eboard-1.1.3-r1.apk
2023-07-29 21:36
1.4M
eatmemory-0.1.6-r2.apk
2022-10-25 01:23
4.1K
dwl-doc-0.5-r1.apk
2024-03-13 22:50
3.1K
dwl-0.5-r1.apk
2024-03-13 22:50
22K
dvdbackup-lang-0.4.2-r1.apk
2022-10-14 16:53
1.4K
dvdbackup-doc-0.4.2-r1.apk
2022-10-14 16:53
7.6K
dvdbackup-0.4.2-r1.apk
2022-10-14 16:53
16K
dustracing2d-2.1.1-r1.apk
2022-10-25 01:23
5.1M
dune-deps-1.3.0-r2.apk
2024-03-23 21:42
787K
dumb_runtime_dir-1.0.4-r2.apk
2023-10-05 10:29
3.4K
dum-0.1.19-r1.apk
2023-05-24 07:50
197K
duf-0.8.1-r19.apk
2024-05-19 02:22
1.0M
duc-doc-1.4.5-r0.apk
2023-07-02 19:37
9.1K
duc-1.4.5-r0.apk
2023-07-02 19:37
83K
dublin-traceroute-doc-0.4.2-r3.apk
2023-09-16 23:20
2.3K
dublin-traceroute-dev-0.4.2-r3.apk
2023-09-16 23:20
6.9K
dublin-traceroute-contrib-0.4.2-r3.apk
2023-09-16 23:20
2.9K
dublin-traceroute-0.4.2-r3.apk
2023-09-16 23:20
42K
dstask-zsh-completion-0.26-r7.apk
2024-05-19 02:22
1.7K
dstask-import-0.26-r7.apk
2024-05-19 02:22
3.0M
dstask-bash-completion-0.26-r7.apk
2024-05-19 02:22
2.1K
dstask-0.26-r7.apk
2024-05-19 02:22
1.2M
dsp-doc-1.9-r1.apk
2023-02-28 06:13
7.2K
dsp-1.9-r1.apk
2023-02-28 06:13
84K
dsnet-doc-0.7.3-r3.apk
2024-05-19 02:22
9.3K
dsnet-0.7.3-r3.apk
2024-05-19 02:22
3.5M
drumgizmo-0.9.20-r1.apk
2023-07-02 19:37
359K
dropwatch-doc-1.5.4-r4.apk
2024-03-01 16:17
3.7K
dropwatch-1.5.4-r4.apk
2024-03-01 16:17
14K
drone-cli-1.8.0-r3.apk
2024-05-19 02:22
5.1M
droidcam-gui-2.1.3-r0.apk
2024-04-06 01:22
26K
droidcam-2.1.3-r0.apk
2024-04-06 01:22
17K
drogon-doc-1.9.4-r0.apk
2024-05-05 23:25
2.3K
drogon-dev-1.9.4-r0.apk
2024-05-05 23:25
125K
drogon-1.9.4-r0.apk
2024-05-05 23:25
1.3M
drawing-lang-1.0.2-r0.apk
2023-03-06 14:05
272K
drawing-1.0.2-r0.apk
2023-03-06 14:05
3.2M
draw-0.1.1-r6.apk
2024-05-19 02:22
901K
downloader-cli-0.3.4-r1.apk
2024-04-16 01:59
2.0K
dotenv-linter-3.3.0-r1.apk
2023-05-24 07:50
739K
dooit-pyc-2.2.0-r1.apk
2024-04-16 01:59
96K
dooit-2.2.0-r1.apk
2024-04-16 01:59
42K
dockerize-0.7.0-r6.apk
2024-05-19 02:22
2.8M
docker-volume-local-persist-openrc-1.3.0-r26.apk
2024-05-19 02:22
1.8K
docker-volume-local-persist-1.3.0-r26.apk
2024-05-19 02:22
2.2M
docker-auth-openrc-1.11.0-r8.apk
2024-05-19 02:22
2.1K
docker-auth-1.11.0-r8.apk
2024-05-19 02:22
8.9M
docbook2mdoc-doc-1.1.0-r2.apk
2024-06-07 20:18
4.1K
docbook2mdoc-1.1.0-r2.apk
2024-06-07 20:18
18K
doasedit-1.0.7-r0.apk
2024-02-13 18:44
3.6K
dnstap-ldns-0.2.1-r0.apk
2024-03-11 07:24
10K
dnssec-tools-doc-2.2.3-r10.apk
2023-07-08 03:17
316K
dnssec-tools-dev-2.2.3-r10.apk
2023-07-08 03:17
167K
dnssec-tools-2.2.3-r10.apk
2023-07-08 03:17
733K
dnsperf-doc-2.14.0-r0.apk
2024-01-19 10:40
35K
dnsperf-2.14.0-r0.apk
2024-01-19 10:40
72K
dnsfunnel-openrc-0.0.1.6-r0.apk
2023-11-06 22:49
1.8K
dnsfunnel-doc-0.0.1.6-r0.apk
2023-11-06 22:49
7.1K
dnsfunnel-0.0.1.6-r0.apk
2023-11-06 22:49
13K
dnsenum-doc-1.3.2-r0.apk
2024-04-24 03:33
5.2K
dnsenum-1.3.2-r0.apk
2024-04-24 03:33
21K
dnscrypt-wrapper-0.4.2-r3.apk
2023-12-19 15:36
26K
dnscontrol-doc-4.11.0-r0.apk
2024-05-19 02:22
2.3K
dnscontrol-4.11.0-r0.apk
2024-05-19 02:22
12M
dmarc-metrics-exporter-pyc-1.0.0-r2.apk
2024-04-16 01:59
47K
dmarc-metrics-exporter-openrc-1.0.0-r2.apk
2024-04-16 01:59
2.0K
dmarc-metrics-exporter-1.0.0-r2.apk
2024-04-16 01:59
26K
dlib-dev-19.24.4-r0.apk
2024-04-02 10:24
2.4M
dlib-19.24.4-r0.apk
2024-04-02 10:24
706K
dive-0.11.0-r6.apk
2024-05-19 02:22
3.7M
dissent-0.0.22-r2.apk
2024-05-19 02:22
13M
dislocker-libs-0.7.3-r5.apk
2024-04-13 19:04
40K
dislocker-doc-0.7.3-r5.apk
2024-04-13 19:04
6.1K
dislocker-0.7.3-r5.apk
2024-04-13 19:04
12K
diskus-0.7.0-r2.apk
2024-05-22 23:31
287K
diskonaut-0.11.0-r3.apk
2023-05-24 07:50
389K
disfetch-3.7-r0.apk
2023-06-15 13:46
8.3K
dinit-shutdown-0.18.0-r2.apk
2024-06-02 17:18
16K
dinit-doc-0.18.0-r2.apk
2024-06-02 17:18
29K
dinit-alpine-0_git20240128-r1.apk
2024-05-31 22:53
8.3K
dinit-0.18.0-r2.apk
2024-06-02 17:18
150K
ding-libs-dev-0.6.2-r4.apk
2023-11-09 20:07
68K
ding-libs-0.6.2-r4.apk
2023-11-09 20:07
60K
diceware-pyc-0.10-r1.apk
2024-04-16 01:59
17K
diceware-0.10-r1.apk
2024-04-16 01:59
218K
dhewm3-1.5.2-r0.apk
2022-08-24 09:59
3.8M
dfu-programmer-doc-1.1.0-r0.apk
2023-07-10 22:18
5.7K
dfu-programmer-bash-completion-1.1.0-r0.apk
2023-07-10 22:18
2.8K
dfu-programmer-1.1.0-r0.apk
2023-07-10 22:18
34K
dfl-sni-dev-0.2.0-r0.apk
2023-12-30 14:27
4.1K
dfl-sni-0.2.0-r0.apk
2023-12-30 14:27
28K
dfl-login1-dev-0.2.0-r0.apk
2023-12-30 14:27
3.4K
dfl-login1-0.2.0-r0.apk
2023-12-30 14:27
15K
dfl-ipc-dev-0.2.0-r0.apk
2023-12-30 14:27
3.6K
dfl-ipc-0.2.0-r0.apk
2023-12-30 14:27
18K
dfl-applications-dev-0.2.0-r0.apk
2023-12-30 14:27
4.1K
dfl-applications-0.2.0-r0.apk
2023-12-30 14:27
19K
dex-doc-0.9.0-r1.apk
2023-10-19 18:11
5.8K
dex-0.9.0-r1.apk
2023-10-19 18:11
7.9K
dewduct-0.2.2-r0.apk
2024-05-31 12:40
1.0M
devil-dev-1.8.0-r0.apk
2023-09-12 12:20
13K
devil-1.8.0-r0.apk
2023-09-12 12:20
206K
deutex-5.2.2-r1.apk
2022-04-10 23:06
63K
detox-doc-2.0.0-r0.apk
2024-04-01 21:35
21K
detox-2.0.0-r0.apk
2024-04-01 21:35
109K
desync-0.9.5-r6.apk
2024-05-19 02:22
6.9M
desed-doc-1.2.1-r1.apk
2023-05-24 07:50
2.9K
desed-1.2.1-r1.apk
2023-05-24 07:50
342K
dehydrated-0.7.1-r0.apk
2023-01-20 23:16
26K
debconf-utils-1.5.82-r0.apk
2023-03-16 15:38
6.7K
debconf-lang-1.5.82-r0.apk
2023-03-16 15:38
132K
debconf-doc-1.5.82-r0.apk
2023-03-16 15:38
27K
debconf-bash-completion-1.5.82-r0.apk
2023-03-16 15:38
1.9K
debconf-1.5.82-r0.apk
2023-03-16 15:38
69K
deadbeef-soxr-20180801-r0.apk
2020-12-03 20:21
5.2K
ddserver-0_git20200930-r1.apk
2022-10-25 01:23
11K
ddnrs-openrc-0.3.0-r0.apk
2024-05-13 10:06
2.0K
ddnrs-0.3.0-r0.apk
2024-05-13 10:06
859K
ddgr-zsh-completion-2.2-r0.apk
2024-01-14 19:28
2.7K
ddgr-fish-completion-2.2-r0.apk
2024-01-14 19:28
2.3K
ddgr-doc-2.2-r0.apk
2024-01-14 19:28
12K
ddgr-bash-completion-2.2-r0.apk
2024-01-14 19:28
2.2K
ddgr-2.2-r0.apk
2024-01-14 19:28
20K
ddcci-driver-linux-src-0.4.4-r3.apk
2024-05-17 13:38
19K
dcnnt-pyc-0.10.0-r1.apk
2024-04-16 01:59
62K
dcnnt-doc-0.10.0-r1.apk
2024-04-16 01:59
6.6K
dcnnt-0.10.0-r1.apk
2024-04-16 01:59
28K
dcmtk-openrc-3.6.8-r0.apk
2024-01-13 23:52
1.7K
dcmtk-doc-3.6.8-r0.apk
2024-01-13 23:52
266K
dcmtk-dev-3.6.8-r0.apk
2024-01-13 23:52
1.6M
dcmtk-3.6.8-r0.apk
2024-01-13 23:52
1.2M
dbus-waiter-0.2.0-r0.apk
2023-10-29 13:12
592K
dbus-broker-doc-35-r0.apk
2024-01-04 22:03
5.9K
dbus-broker-35-r0.apk
2024-01-04 22:03
76K
dasht-zsh-completion-2.4.0-r0.apk
2023-12-24 04:12
2.1K
dasht-doc-2.4.0-r0.apk
2023-12-24 04:12
11K
dasht-2.4.0-r0.apk
2023-12-24 04:12
14K
darts-clone-dev-0_git20181117-r0.apk
2022-01-27 02:59
13K
darts-clone-0_git20181117-r0.apk
2022-01-27 02:59
23K
dartaotruntime-3.3.2-r0.apk
2024-03-21 23:56
1.2M
dart-stage0-3.2.0_alpha150_p0-r0.apk
2024-03-02 06:12
210M
dart-sdk-3.3.2-r0.apk
2024-03-21 23:56
166M
dart-3.3.2-r0.apk
2024-03-21 23:55
41M
daktilo-zsh-completion-0.6.0-r0.apk
2024-04-11 12:48
2.3K
daktilo-fish-completion-0.6.0-r0.apk
2024-04-11 12:48
1.9K
daktilo-doc-0.6.0-r0.apk
2024-04-11 12:48
8.7K
daktilo-bash-completion-0.6.0-r0.apk
2024-04-11 12:48
2.2K
daktilo-0.6.0-r0.apk
2024-04-11 12:48
1.7M
daemontools-0.76-r2.apk
2022-10-25 01:23
90K
cz-viator-hourglass-black-20210706-r0.apk
2022-02-07 13:33
219K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2023-05-15 18:03
6.2K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2023-05-15 18:03
2.3K
cyrus-sasl-xoauth2-0.2-r1.apk
2023-05-15 18:03
5.9K
cvs-fast-export-tools-1.65-r0.apk
2024-02-16 20:32
8.6K
cvs-fast-export-doc-1.65-r0.apk
2024-02-16 20:32
17K
cvs-fast-export-1.65-r0.apk
2024-02-16 20:32
43K
cvise-pyc-2.8.0-r2.apk
2023-07-29 21:36
63K
cvise-2.8.0-r2.apk
2023-07-29 21:36
5.0M
cutechess-doc-1.3.1-r0.apk
2023-09-24 20:36
3.5K
cutechess-cli-doc-1.3.1-r0.apk
2023-09-24 20:36
6.6K
cutechess-cli-1.3.1-r0.apk
2023-09-24 20:36
305K
cutechess-1.3.1-r0.apk
2023-09-24 20:36
1.0M
curtail-lang-1.9.1-r0.apk
2024-04-16 01:59
62K
curtail-1.9.1-r0.apk
2024-04-16 01:59
25K
curlpp-dev-0.8.1-r1.apk
2022-10-25 01:23
64K
curlpp-0.8.1-r1.apk
2022-10-25 01:23
24K
curlftpfs-doc-0.9.2-r3.apk
2022-10-25 01:23
6.1K
curlftpfs-0.9.2-r3.apk
2022-10-25 01:23
23K
cups-pdf-3.0.1-r2.apk
2024-03-26 13:25
21K
ctorrent-dnh-3.3.2-r2.apk
2022-10-25 01:23
81K
csol-doc-1.6.0-r0.apk
2023-03-15 18:01
3.8K
csol-1.6.0-r0.apk
2023-03-15 18:01
33K
csmith-doc-2.3.0-r1.apk
2022-10-25 01:23
3.1K
csmith-2.3.0-r1.apk
2022-10-25 01:23
253K
csfml-doc-2.5.2-r0.apk
2023-07-02 19:37
204K
csfml-dev-2.5.2-r0.apk
2023-07-02 19:37
77K
csfml-2.5.2-r0.apk
2023-07-02 19:37
86K
cscope-doc-15.9-r1.apk
2022-10-14 16:53
7.5K
cscope-15.9-r1.apk
2022-10-14 16:53
148K
crowdsec-splunk-plugin-1.6.2-r1.apk
2024-06-02 16:54
4.2M
crowdsec-slack-plugin-1.6.2-r1.apk
2024-06-02 16:54
4.2M
crowdsec-openrc-1.6.2-r1.apk
2024-06-02 16:54
1.8K
crowdsec-http-plugin-1.6.2-r1.apk
2024-06-02 16:54
4.2M
crowdsec-email-plugin-1.6.2-r1.apk
2024-06-02 16:54
4.1M
crowdsec-1.6.2-r1.apk
2024-06-02 16:54
36M
crossplane-pyc-0.5.8-r2.apk
2024-04-16 01:59
39K
crossplane-0.5.8-r2.apk
2024-04-16 01:59
25K
crispy-doom-doc-6.0-r0.apk
2023-04-01 00:55
85K
crispy-doom-6.0-r0.apk
2023-04-01 00:55
1.6M
cri-o-zsh-completion-1.29.1-r3.apk
2024-05-19 02:21
2.9K
cri-o-openrc-1.29.1-r3.apk
2024-05-19 02:21
2.0K
cri-o-fish-completion-1.29.1-r3.apk
2024-05-19 02:21
8.2K
cri-o-doc-1.29.1-r3.apk
2024-05-19 02:21
21K
cri-o-bash-completion-1.29.1-r3.apk
2024-05-19 02:21
2.6K
cri-o-1.29.1-r3.apk
2024-05-19 02:21
14M
createrepo_c-libs-1.0.2-r1.apk
2024-04-16 01:59
82K
createrepo_c-doc-1.0.2-r1.apk
2024-04-16 01:59
8.6K
createrepo_c-dev-1.0.2-r1.apk
2024-04-16 01:59
31K
createrepo_c-bash-completion-1.0.2-r1.apk
2024-04-16 01:59
2.9K
createrepo_c-1.0.2-r1.apk
2024-04-16 01:59
44K
create-tauri-app-doc-4.0.0-r0.apk
2024-05-23 16:08
6.2K
create-tauri-app-4.0.0-r0.apk
2024-05-23 16:08
596K
crazydiskinfo-1.1.0-r1.apk
2022-10-25 01:23
29K
cpufetch-doc-1.05-r0.apk
2024-02-06 12:53
3.1K
cpufetch-1.05-r0.apk
2024-02-06 12:53
36K
cpuburn-1.4a_git20160316-r2.apk
2022-10-26 20:28
10K
cpplint-pyc-1.6.1_git20240320-r1.apk
2024-04-16 01:59
94K
cpplint-1.6.1_git20240320-r1.apk
2024-04-16 01:59
76K
cpiped-0.1.0-r0.apk
2020-07-23 01:58
6.5K
coxeter-libs-3.0-r1.apk
2023-08-01 16:54
267K
coxeter-dev-3.0-r1.apk
2023-08-01 16:54
57K
coxeter-3.0-r1.apk
2023-08-01 16:54
48K
cowsay-doc-3.04-r2.apk
2022-10-25 01:23
4.0K
cowsay-3.04-r2.apk
2022-10-25 01:23
18K
coventry-openrc-0.8.1-r0.apk
2024-02-24 15:30
1.8K
coventry-doc-0.8.1-r0.apk
2024-02-24 15:30
36K
coventry-0.8.1-r0.apk
2024-02-24 15:30
246K
copyq-doc-8.0.0-r0.apk
2024-03-22 08:46
3.5K
copyq-bash-completion-8.0.0-r0.apk
2024-03-22 08:46
2.3K
copyq-8.0.0-r0.apk
2024-03-22 08:46
2.3M
convert2json-0.8.2-r1.apk
2024-05-22 09:40
1.7M
consul-replicate-0.4.0-r24.apk
2024-05-19 02:21
2.5M
console_bridge-dev-1.0.2-r0.apk
2022-11-09 00:16
4.7K
console_bridge-1.0.2-r0.apk
2022-11-09 00:16
9.0K
conntracct-openrc-0.2.7-r24.apk
2024-05-19 02:21
1.9K
conntracct-0.2.7-r24.apk
2024-05-19 02:21
4.5M
conduit-openrc-0.7.0-r0.apk
2024-04-25 10:46
1.9K
conduit-0.7.0-r0.apk
2024-04-25 10:46
5.6M
compton-conf-0.16.0-r1.apk
2022-07-26 08:46
55K
compiz-utils-0.9.14.2-r5.apk
2024-05-20 09:34
3.3K
compiz-pyc-0.9.14.2-r5.apk
2024-05-20 09:34
112K
compiz-lang-0.9.14.2-r5.apk
2024-05-20 09:34
1.2M
compiz-dev-0.9.14.2-r5.apk
2024-05-20 09:34
121K
compiz-0.9.14.2-r5.apk
2024-05-20 09:34
5.2M
commoncpp-tools-7.0.1-r1.apk
2022-08-04 08:27
35K
commoncpp-doc-7.0.1-r1.apk
2022-08-04 08:27
15K
commoncpp-dev-7.0.1-r1.apk
2022-08-04 08:27
173K
commoncpp-7.0.1-r1.apk
2022-08-04 08:27
231K
comics-downloader-gui-0.33.8-r3.apk
2024-05-19 02:21
5.1M
comics-downloader-0.33.8-r3.apk
2024-05-19 02:21
3.4M
colorpicker-0_git20201128-r1.apk
2022-10-25 01:23
4.0K
colormake-doc-0.9.20170221-r0.apk
2020-07-23 01:58
2.7K
colormake-0.9.20170221-r0.apk
2020-07-23 01:58
4.0K
coin-dev-4.0.0-r6.apk
2024-04-22 19:49
354K
coin-4.0.0-r6.apk
2024-04-22 19:49
2.5M
codeberg-cli-zsh-completion-0.4.0-r0.apk
2024-05-03 01:31
6.4K
codeberg-cli-fish-completion-0.4.0-r0.apk
2024-05-03 01:31
4.6K
codeberg-cli-bash-completion-0.4.0-r0.apk
2024-05-03 01:31
4.9K
codeberg-cli-0.4.0-r0.apk
2024-05-03 01:31
1.7M
cocogitto-zsh-completion-6.1.0-r0.apk
2024-03-15 13:15
3.1K
cocogitto-fish-completion-6.1.0-r0.apk
2024-03-15 13:15
3.3K
cocogitto-doc-6.1.0-r0.apk
2024-03-15 13:15
35K
cocogitto-bash-completion-6.1.0-r0.apk
2024-03-15 13:15
3.1K
cocogitto-6.1.0-r0.apk
2024-03-15 13:15
1.9M
coccinelle-doc-1.1.1-r2.apk
2024-04-18 13:20
16K
coccinelle-bash-completion-1.1.1-r2.apk
2024-04-18 13:20
2.9K
coccinelle-1.1.1-r2.apk
2024-04-18 13:20
6.7M
cmusfm-0.5.0-r0.apk
2023-07-21 23:58
13K
clustershell-pyc-1.9.2-r1.apk
2024-05-23 23:17
378K
clustershell-doc-1.9.2-r1.apk
2024-05-23 23:17
24K
clustershell-1.9.2-r1.apk
2024-05-23 23:17
158K
cluster-glue-libs-1.0.12-r5.apk
2023-04-29 19:30
103K
cluster-glue-doc-1.0.12-r5.apk
2023-04-29 19:30
33K
cluster-glue-dev-1.0.12-r5.apk
2023-04-29 19:30
1.0M
cluster-glue-1.0.12-r5.apk
2023-04-29 19:30
242K
cln-doc-1.3.7-r0.apk
2024-01-28 15:27
78K
cln-dev-1.3.7-r0.apk
2024-01-28 15:27
1.1M
cln-1.3.7-r0.apk
2024-01-28 15:27
415K
cliquer-tests-1.22-r2.apk
2023-08-01 16:54
24K
cliquer-static-1.22-r2.apk
2023-08-01 16:54
23K
cliquer-libs-1.22-r2.apk
2023-08-01 16:54
21K
cliquer-dev-1.22-r2.apk
2023-08-01 16:54
7.4K
cliquer-1.22-r2.apk
2023-08-01 16:54
6.8K
clipit-doc-1.4.5-r2.apk
2023-04-16 20:48
2.4K
clipit-1.4.5-r2.apk
2023-04-16 20:48
63K
cliphist-fzf-0.5.0-r3.apk
2024-05-19 02:21
1.8K
cliphist-0.5.0-r3.apk
2024-05-19 02:21
818K
clinfo-doc-3.0.23.01.25-r0.apk
2023-02-10 11:38
6.5K
clinfo-3.0.23.01.25-r0.apk
2023-02-10 11:38
42K
click-pyc-0.5.2-r1.apk
2024-04-16 01:59
178K
click-doc-0.5.2-r1.apk
2024-04-16 01:59
3.4K
click-dev-0.5.2-r1.apk
2024-04-16 01:59
9.3K
click-0.5.2-r1.apk
2024-04-16 01:59
152K
clevis-extra-pins-0_git20230629-r0.apk
2024-02-14 00:31
4.6K
clevis-doc-19-r0.apk
2023-01-29 20:27
22K
clevis-dbg-19-r0.apk
2023-01-29 20:27
51K
clevis-bash-completion-19-r0.apk
2023-01-29 20:27
2.1K
clevis-19-r0.apk
2023-01-29 20:27
40K
clementine-1.4.0_git20220324-r12.apk
2024-05-08 15:01
6.9M
clatd-1.6-r0.apk
2023-04-18 01:02
13K
clapper-lang-0.6.0-r0.apk
2024-04-26 23:56
42K
clapper-0.6.0-r0.apk
2024-04-26 23:56
229K
ckb-next-dev-0.6.0-r1.apk
2023-07-19 21:10
5.0K
ckb-next-daemon-openrc-0.6.0-r1.apk
2023-07-19 21:10
1.8K
ckb-next-daemon-0.6.0-r1.apk
2023-07-19 21:10
68K
ckb-next-0.6.0-r1.apk
2023-07-19 21:10
1.2M
circuslinux-doc-1.0.3-r1.apk
2021-12-05 02:04
18K
circuslinux-data-1.0.3-r1.apk
2021-12-05 02:04
1.1M
circuslinux-1.0.3-r1.apk
2021-12-05 02:04
19K
cinny-web-3.2.0-r0.apk
2024-01-18 02:36
4.4M
cinny-3.2.1-r1.apk
2024-03-20 11:05
6.4M
cimg-3.3.5-r0.apk
2024-03-13 10:18
825K
cilium-cli-zsh-completion-0.16.6-r1.apk
2024-05-19 02:21
4.0K
cilium-cli-fish-completion-0.16.6-r1.apk
2024-05-19 02:21
4.3K
cilium-cli-bash-completion-0.16.6-r1.apk
2024-05-19 02:21
5.1K
cilium-cli-0.16.6-r1.apk
2024-05-19 02:21
51M
chocolate-doom-doc-3.0.1-r3.apk
2023-02-13 15:24
122K
chocolate-doom-3.0.1-r3.apk
2023-02-13 15:24
1.5M
chim-doc-1.1.2-r1.apk
2023-05-24 07:50
2.8K
chim-1.1.2-r1.apk
2023-05-24 07:50
1.4M
chicago95-icons-3.0.1-r0.apk
2024-01-19 04:30
12M
chicago95-fonts-3.0.1-r0.apk
2024-01-19 04:29
215K
chicago95-3.0.1-r0.apk
2024-01-19 04:29
491K
chiaki-2.2.0-r0.apk
2024-01-26 15:22
284K
cherrytree-lang-1.1.2-r0.apk
2024-04-10 01:13
812K
cherrytree-doc-1.1.2-r0.apk
2024-04-10 01:13
2.2K
cherrytree-1.1.2-r0.apk
2024-04-10 01:13
2.5M
checkpolicy-doc-3.6-r0.apk
2024-01-07 22:47
4.1K
checkpolicy-3.6-r0.apk
2024-01-07 22:47
335K
charls-dev-2.4.2-r0.apk
2023-11-03 09:37
27K
charls-2.4.2-r0.apk
2023-11-03 09:37
56K
chamo-dev-4.0-r0.apk
2024-04-22 08:14
4.1M
chamo-byte-4.0-r0.apk
2024-04-22 08:14
1.4M
chamo-4.0-r0.apk
2024-04-22 08:14
6.2M
cgo-doc-0.6.1-r1.apk
2022-10-25 01:23
4.1K
cgo-0.6.1-r1.apk
2022-10-25 01:23
9.3K
cgiirc-0.5.12-r1.apk
2023-12-25 09:11
132K
cfssl-1.6.4-r7.apk
2024-05-19 02:21
29M
certstrap-1.3.0-r16.apk
2024-05-19 02:21
1.9M
certigo-1.16.0-r16.apk
2024-05-19 02:21
3.2M
certbot-dns-njalla-1.0.2-r2.apk
2024-04-16 01:59
9.5K
cdogs-sdl-2.1.0-r0.apk
2024-04-17 12:22
33M
cdist-pyc-7.0.0-r5.apk
2024-04-16 01:59
128K
cdist-7.0.0-r5.apk
2024-04-16 01:59
461K
cddlib-tools-0.94m-r2.apk
2023-08-01 16:54
34K
cddlib-static-0.94m-r2.apk
2023-08-01 16:54
218K
cddlib-doc-0.94m-r2.apk
2023-08-01 16:54
864K
cddlib-dev-0.94m-r2.apk
2023-08-01 16:54
14K
cddlib-0.94m-r2.apk
2023-08-01 16:54
157K
cdba-server-1.0-r0.apk
2023-11-12 14:27
18K
cdba-1.0-r0.apk
2023-11-12 14:27
7.4K
ccze-doc-0.2.1-r1.apk
2022-09-07 22:32
8.8K
ccze-dev-0.2.1-r1.apk
2022-09-07 22:32
3.3K
ccze-0.2.1-r1.apk
2022-09-07 22:32
69K
ccrtp-doc-2.1.2-r0.apk
2022-06-04 23:00
31K
ccrtp-dev-2.1.2-r0.apk
2022-06-04 23:00
53K
ccrtp-2.1.2-r0.apk
2022-06-04 23:00
86K
cava-0.10.1-r0.apk
2024-02-03 17:46
38K
catfish-pyc-4.18.0-r2.apk
2024-04-16 01:59
101K
catfish-lang-4.18.0-r2.apk
2024-04-16 01:59
250K
catfish-doc-4.18.0-r2.apk
2024-04-16 01:59
13K
catfish-4.18.0-r2.apk
2024-04-16 01:59
219K
catdoc-doc-0.95-r1.apk
2022-10-25 01:23
9.2K
catdoc-0.95-r1.apk
2022-10-25 01:23
106K
catcodec-doc-1.0.5-r2.apk
2022-05-14 13:06
4.9K
catcodec-1.0.5-r2.apk
2022-05-14 13:06
11K
castor-0.9.0-r2.apk
2023-05-24 07:50
650K
castero-pyc-0.9.5-r2.apk
2023-04-24 03:28
99K
castero-0.9.5-r2.apk
2023-04-24 03:28
50K
cargo-vendor-filterer-0.5.9-r1.apk
2023-05-24 07:50
382K
cargo-update-doc-13.4.0-r0.apk
2024-05-04 14:29
7.8K
cargo-update-13.4.0-r0.apk
2024-05-04 14:29
1.2M
cargo-sort-1.0.9_git20240110-r0.apk
2024-04-22 17:52
355K
cargo-shuttle-zsh-completion-0.45.0-r0.apk
2024-05-14 02:00
5.4K
cargo-shuttle-fish-completion-0.45.0-r0.apk
2024-05-14 02:00
4.1K
cargo-shuttle-doc-0.45.0-r0.apk
2024-05-14 02:00
9.0K
cargo-shuttle-bash-completion-0.45.0-r0.apk
2024-05-14 02:00
3.7K
cargo-shuttle-0.45.0-r0.apk
2024-05-14 02:00
4.5M
cargo-run-bin-doc-1.7.2-r0.apk
2024-01-19 03:11
5.0K
cargo-run-bin-1.7.2-r0.apk
2024-01-19 03:11
383K
cargo-machete-doc-0.6.2-r0.apk
2024-03-24 14:10
3.9K
cargo-machete-0.6.2-r0.apk
2024-03-24 14:10
1.0M
cargo-generate-0.20.0-r0.apk
2024-04-01 21:39
1.9M
cargo-crev-0.25.5-r2.apk
2024-06-02 16:54
4.8M
care-doc-2.3.0-r0.apk
2024-02-10 21:01
7.9K
care-2.3.0-r0.apk
2024-02-10 21:01
82K
carapace-1.0.3-r0.apk
2024-06-02 16:54
11M
caps2esc-0.3.2-r0.apk
2023-07-02 19:37
4.3K
caja-gtkhash-plugin-1.5-r0.apk
2022-10-01 23:13
22K
caffeine-ng-lang-4.2.0-r1.apk
2024-04-16 03:32
34K
caffeine-ng-doc-4.2.0-r1.apk
2024-04-16 03:32
3.2K
caffeine-ng-4.2.0-r1.apk
2024-04-16 03:32
100K
cadence-0.9.2-r0.apk
2022-10-09 17:22
1.9M
c2rust-0.18.0-r1.apk
2024-01-19 19:50
1.7M
bwrap-oci-doc-0.2-r1.apk
2022-10-25 01:23
2.5K
bwrap-oci-0.2-r1.apk
2022-10-25 01:23
14K
butane-0.19.0-r4.apk
2024-05-19 02:21
2.4M
burp-server-3.1.4-r0.apk
2023-03-17 20:00
36K
burp-doc-3.1.4-r0.apk
2023-03-17 20:00
99K
burp-3.1.4-r0.apk
2023-03-17 20:00
151K
bump2version-pyc-1.0.1-r6.apk
2024-04-16 01:59
29K
bump2version-1.0.1-r6.apk
2024-04-16 01:59
21K
buildcache-0.28.9-r0.apk
2024-01-30 16:17
633K
btpd-doc-0.16-r2.apk
2022-08-04 08:27
8.4K
btpd-0.16-r2.apk
2022-08-04 08:27
62K
btfs-doc-2.24-r12.apk
2024-04-22 19:49
2.4K
btfs-2.24-r12.apk
2024-04-22 19:49
27K
brltty-static-6.6-r1.apk
2023-11-09 20:06
22K
brltty-lang-6.6-r1.apk
2023-11-09 20:06
121K
brltty-doc-6.6-r1.apk
2023-11-09 20:06
9.5K
brltty-dev-6.6-r1.apk
2023-11-09 20:06
146K
brltty-6.6-r1.apk
2023-11-09 20:06
1.8M
brial-dev-1.2.11-r3.apk
2024-04-22 19:49
1.7M
brial-1.2.11-r3.apk
2024-04-22 19:49
1.0M
boxes-doc-2.2.1-r0.apk
2023-09-06 15:12
6.9K
boxes-2.2.1-r0.apk
2023-09-06 15:12
51K
boxed-cpp-doc-1.4.0-r0.apk
2024-03-02 16:02
5.5K
boxed-cpp-dev-1.4.0-r0.apk
2024-03-02 16:02
6.4K
boxed-cpp-1.4.0-r0.apk
2024-03-02 16:02
1.4K
boson-0_git20211219-r0.apk
2022-07-10 20:36
15K
bore-0.5.0-r1.apk
2023-05-24 07:50
465K
bordeaux-openrc-0.8.1-r0.apk
2024-02-26 20:49
2.1K
bordeaux-generic-0.8.1-r0.apk
2024-02-26 20:49
46K
bordeaux-en_voices-0.8.1-r0.apk
2024-02-26 20:49
686K
bordeaux-doc-0.8.1-r0.apk
2024-02-26 20:49
27K
bordeaux-dev-0.8.1-r0.apk
2024-02-26 20:49
39K
bordeaux-coventry-0.8.1-r0.apk
2024-02-26 20:49
53K
bordeaux-0.8.1-r0.apk
2024-02-26 20:49
140K
bootterm-dbg-0.5-r0.apk
2024-05-09 20:30
2.3K
bootterm-0.5-r0.apk
2024-05-09 20:30
17K
bootloose-0.7.1-r4.apk
2024-05-19 02:21
2.0M
bootinfo-pyc-0.1.0-r3.apk
2024-04-16 01:59
8.3K
bootinfo-0.1.0-r3.apk
2024-04-16 01:59
6.9K
bonzomatic-20230615-r0.apk
2023-09-28 07:16
587K
boinc-screensaver-7.24.3-r0.apk
2024-02-22 02:03
119K
boinc-libs-7.24.3-r0.apk
2024-02-22 02:03
198K
boinc-lang-7.24.3-r0.apk
2024-02-22 02:03
877K
boinc-gui-7.24.3-r0.apk
2024-02-22 02:03
1.0M
boinc-doc-7.24.3-r0.apk
2024-02-22 02:03
8.0K
boinc-dev-7.24.3-r0.apk
2024-02-22 02:03
578K
boinc-7.24.3-r0.apk
2024-02-22 02:03
1.5M
bobcat-doc-4.09.00-r0.apk
2023-10-06 08:58
345K
bobcat-dev-4.09.00-r0.apk
2023-10-06 08:58
696K
bobcat-4.09.00-r0.apk
2023-10-06 08:58
640K
bm818-tools-0.7-r0.apk
2023-12-16 16:13
5.5K
bliss-dev-0.77-r1.apk
2023-08-01 16:54
94K
bliss-0.77-r1.apk
2023-08-01 16:54
55K
blip-doc-0.10-r0.apk
2020-07-23 01:58
30K
blip-0.10-r0.apk
2020-07-23 01:58
15K
blackbox-1.20220610-r0.apk
2022-12-22 18:46
16K
bitritter-0.1.1-r0.apk
2024-05-30 06:32
1.9M
bitlbee-mastodon-1.4.5-r0.apk
2022-07-05 17:17
41K
bitlbee-facebook-1.2.2-r0.apk
2022-10-02 01:52
50K
birdtray-1.9.0-r1.apk
2022-10-25 01:23
388K
bionic_translation-dev-0_git20240525-r0.apk
2024-05-28 13:36
1.7K
bionic_translation-dbg-0_git20240525-r0.apk
2024-05-28 13:36
124K
bionic_translation-0_git20240525-r0.apk
2024-05-28 13:36
40K
biometryd-dev-0.3.1-r1.apk
2024-05-22 20:34
14K
biometryd-0.3.1-r1.apk
2024-05-22 20:34
265K
binwalk-pyc-2.4.1-r0.apk
2024-05-01 11:32
166K
binwalk-2.4.1-r0.apk
2024-05-01 11:32
147K
bindfs-doc-1.17.6-r0.apk
2023-12-03 11:03
9.0K
bindfs-1.17.6-r0.apk
2023-12-03 11:03
20K
bgs-doc-0.8-r1.apk
2022-10-25 01:23
2.3K
bgs-0.8-r1.apk
2022-10-25 01:23
5.6K
bgpq4-doc-1.12-r0.apk
2024-02-13 23:39
6.4K
bgpq4-1.12-r0.apk
2024-02-13 23:39
31K
betula-openrc-1.1.0-r3.apk
2024-05-19 02:21
1.9K
betula-1.1.0-r3.apk
2024-05-19 02:21
3.4M
bettercap-2.32.0-r21.apk
2024-05-19 02:21
8.8M
bestline-doc-0.0_git20211108-r0.apk
2022-02-13 09:59
18M
bestline-dev-0.0_git20211108-r0.apk
2022-02-13 09:59
1.7K
bestline-0.0_git20211108-r0.apk
2022-02-13 09:59
18K
berry-lang-1.1.0-r0.apk
2022-08-13 00:35
89K
belr-dev-5.3.38-r0.apk
2024-04-13 19:04
15K
belr-5.3.38-r0.apk
2024-04-13 19:04
99K
belle-sip-dev-5.3.38-r0.apk
2024-04-13 19:04
55K
belle-sip-5.3.38-r0.apk
2024-04-13 19:04
572K
belcard-libs-5.3.38-r0.apk
2024-04-13 19:04
191K
belcard-dev-5.3.38-r0.apk
2024-04-13 19:04
12K
belcard-5.3.38-r0.apk
2024-04-13 19:04
12K
bees-openrc-0.10-r0.apk
2023-09-05 13:59
1.9K
bees-0.10-r0.apk
2023-09-05 13:59
557K
beard-doc-0.4-r0.apk
2022-08-28 18:21
2.5K
beard-0.4-r0.apk
2022-08-28 18:21
3.1K
beancount-language-server-1.3.4-r0.apk
2024-02-06 00:53
1.1M
bdfr-2.6.2-r1.apk
2024-04-16 01:59
131K
bcnm-doc-0.0.1.7-r0.apk
2023-11-06 22:49
11K
bcnm-dev-0.0.1.7-r0.apk
2023-11-06 22:49
16K
bcnm-0.0.1.7-r0.apk
2023-11-06 22:49
13K
bchunk-doc-1.2.2-r2.apk
2022-10-25 01:23
3.1K
bchunk-1.2.2-r2.apk
2022-10-25 01:23
7.3K
bcg729-dev-1.1.1-r0.apk
2020-12-03 20:21
3.5K
bcg729-1.1.1-r0.apk
2020-12-03 20:21
32K
base64c-dev-0.2.1-r0.apk
2023-11-18 18:32
5.0K
base64c-0.2.1-r0.apk
2023-11-18 18:32
4.2K
bartib-1.0.1-r1.apk
2023-05-24 07:50
312K
barrier-doc-2.4.0-r1.apk
2022-08-04 21:41
13K
barrier-2.4.0-r1.apk
2022-08-04 21:41
805K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2022-10-25 01:23
2.8K
barnyard2-2.1.14_git20160413-r1.apk
2022-10-25 01:23
111K
barman-pyc-3.10.0-r1.apk
2024-04-16 01:59
515K
barman-doc-3.10.0-r1.apk
2024-04-16 01:59
49K
barman-bash-completion-3.10.0-r1.apk
2024-04-16 01:59
1.6K
barman-3.10.0-r1.apk
2024-04-16 01:59
321K
barcode-0.99-r1.apk
2023-01-03 14:56
43K
bananui-shell-0.2.0-r0.apk
2024-02-26 20:49
87K
bananui-dev-2.0.0-r0.apk
2023-10-06 07:48
81K
bananui-demos-2.0.0-r0.apk
2023-10-06 07:48
7.9K
bananui-dbg-2.0.0-r0.apk
2023-10-06 07:48
173K
bananui-daemons-0.1.0-r0.apk
2023-10-06 07:48
37K
bananui-clock-0.1.0-r0.apk
2023-10-06 07:48
6.6K
bananui-2.0.0-r0.apk
2023-10-06 07:48
48K
bakelite-0.4.2-r0.apk
2022-04-28 18:37
36K
bake-2.5.1-r0.apk
2022-12-22 23:49
98K
baikal_sqlite-0.9.5-r0.apk
2024-03-20 22:45
1.6K
baikal-0.9.5-r0.apk
2024-03-20 22:45
1.3M
backup-manager-0.7.15-r1.apk
2022-10-25 01:23
55K
b4-pyc-0.13.0-r0.apk
2024-02-17 22:16
202K
b4-doc-0.13.0-r0.apk
2024-02-17 22:16
7.8K
b4-0.13.0-r0.apk
2024-02-17 22:16
99K
b2sum-doc-20190729-r2.apk
2022-10-25 01:23
2.7K
b2sum-20190729-r2.apk
2022-10-25 01:23
15K
azure-iot-sdk-c-static-1.11.0-r0.apk
2023-10-19 18:10
737K
azpainter-doc-3.0.7-r0.apk
2023-11-20 15:59
42K
azpainter-3.0.7-r0.apk
2023-11-20 15:59
652K
azote-pyc-1.12.7-r0.apk
2024-05-06 23:25
98K
azote-1.12.7-r0.apk
2024-05-06 23:25
7.6M
avra-dev-1.4.2-r0.apk
2023-08-21 07:36
255K
avra-1.4.2-r0.apk
2023-08-21 07:36
34K
avdl-doc-0.4.3-r1.apk
2022-08-20 13:15
2.7K
avdl-0.4.3-r1.apk
2022-08-20 13:15
204K
avarice-doc-2.14-r3.apk
2022-10-17 14:57
9.5K
avarice-2.14-r3.apk
2022-10-17 14:57
74K
avara-0.7.1-r0.apk
2023-03-15 18:01
21M
avahi2dns-openrc-0.0.1_git20240102-r0.apk
2024-06-08 23:06
1.8K
avahi2dns-0.0.1_git20240102-r0.apk
2024-06-08 23:06
2.1M
autotrash-pyc-0.4.6-r1.apk
2024-04-13 19:04
14K
autotrash-0.4.6-r1.apk
2024-04-13 19:04
23K
autoscan-openrc-1.4.0-r4.apk
2024-05-19 02:21
2.1K
autoscan-1.4.0-r4.apk
2024-05-19 02:21
4.8M
autorestic-1.8.2-r2.apk
2024-05-19 02:21
3.3M
autorandr-udev-1.15-r0.apk
2024-03-16 13:36
1.7K
autorandr-launcher-1.15-r0.apk
2024-03-16 13:36
5.1K
autorandr-doc-1.15-r0.apk
2024-03-16 13:36
3.8K
autorandr-bash-completion-1.15-r0.apk
2024-03-16 13:36
2.3K
autorandr-1.15-r0.apk
2024-03-16 13:36
21K
autoconf-policy-0.1-r0.apk
2020-07-23 01:58
5.5K
autobrr-openrc-1.42.0-r1.apk
2024-05-19 02:21
2.0K
autobrr-1.42.0-r1.apk
2024-05-19 02:21
15M
authenticator-rs-lang-0.7.5-r0.apk
2023-05-29 23:09
3.7K
authenticator-rs-0.7.5-r0.apk
2023-05-29 23:09
1.8M
aufs-util-doc-20161219-r2.apk
2023-12-07 16:23
34K
aufs-util-dev-20161219-r2.apk
2023-12-07 16:23
1.5K
aufs-util-20161219-r2.apk
2023-12-07 16:23
158K
atool-doc-0.39.0-r4.apk
2022-10-25 01:23
9.6K
atool-bash-completion-0.39.0-r4.apk
2022-10-25 01:23
2.0K
atool-0.39.0-r4.apk
2022-10-25 01:23
18K
atomicparsley-20221229-r1.apk
2023-02-11 15:02
107K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-03-23 20:23
69K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-03-23 20:23
79K
atlantik-3.5.10_git20240323-r0.apk
2024-03-23 20:23
354K
asymptote-doc-2.86-r0.apk
2023-08-20 15:39
2.8M
asymptote-2.86-r0.apk
2023-08-20 15:39
1.3M
asteroid-weather-2.0.0-r0.apk
2023-08-31 11:41
19K
asteroid-wallpapers-2.0.0-r0.apk
2023-09-01 08:31
5.5M
asteroid-timer-2.0.0-r0.apk
2023-08-31 11:41
12K
asteroid-stopwatch-2.0.0-r0.apk
2023-08-31 11:41
12K
asteroid-settings-2.0.0-r0.apk
2023-08-31 11:41
85K
asteroid-music-2.0.0-r0.apk
2023-08-31 11:41
14K
asteroid-launcher-dbg-2.0.0-r0.apk
2023-08-31 11:41
940K
asteroid-launcher-2.0.0-r0.apk
2023-08-31 11:41
1.7M
asteroid-languages-0_git20230112-r0.apk
2023-05-13 19:57
6.3K
asteroid-icons-ion-2.0.0-r0.apk
2023-09-01 08:31
223K
asteroid-hrm-2.0.0-r0.apk
2023-08-31 11:41
13K
asteroid-flashlight-2.0.0-r0.apk
2023-08-31 11:41
11K
asteroid-diamonds-2.0.0-r0.apk
2023-08-31 11:41
17K
asteroid-compass-2.0.0-r0.apk
2023-08-31 11:41
13K
asteroid-camera-2.0.0-r0.apk
2023-08-31 11:41
4.5K
asteroid-calendar-2.0.0-r0.apk
2023-08-31 11:41
22K
asteroid-calculator-2.0.0-r0.apk
2023-08-31 11:41
16K
asteroid-btsyncd-2.0.0-r0.apk
2023-09-01 08:31
70K
asteroid-alarmclock-2.0.0-r0.apk
2023-08-31 11:41
27K
aspell-es-1.11-r0.apk
2022-05-12 11:04
533K
art_standalone-dev-0_git20240525-r0.apk
2024-05-28 13:36
8.6M
art_standalone-dbg-0_git20240525-r0.apk
2024-05-28 13:36
132M
art_standalone-0_git20240525-r0.apk
2024-05-28 13:36
17M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-02-13 00:10
92K
armagetronad-0.2.9.1.1-r0.apk
2024-02-13 00:10
1.5M
ardour-7.5.0-r3.apk
2024-05-20 12:15
22M
arcticons-icon-theme-light-9.6.5.0-r0.apk
2024-06-05 19:58
423K
arcticons-icon-theme-dark-9.6.5.0-r0.apk
2024-06-05 19:58
423K
arcticons-icon-theme-9.6.5.0-r0.apk
2024-06-05 19:58
1.4K
arc-xfwm-20221218-r0.apk
2023-01-07 14:34
7.7K
arc-theme-20221218-r0.apk
2023-01-07 14:34
1.4K
arc-metacity-20221218-r0.apk
2023-01-07 14:34
17K
arc-lighter-xfwm-20221218-r0.apk
2023-01-07 14:34
7.7K
arc-lighter-metacity-20221218-r0.apk
2023-01-07 14:34
17K
arc-lighter-gtk4-20221218-r0.apk
2023-01-07 14:34
113K
arc-lighter-gtk3-20221218-r0.apk
2023-01-07 14:34
125K
arc-lighter-gtk2-20221218-r0.apk
2023-01-07 14:34
38K
arc-lighter-20221218-r0.apk
2023-01-07 14:34
1.8K
arc-icon-theme-20161122-r0.apk
2021-05-04 22:49
4.4M
arc-gtk4-20221218-r0.apk
2023-01-07 14:34
114K
arc-gtk3-20221218-r0.apk
2023-01-07 14:34
126K
arc-gtk2-20221218-r0.apk
2023-01-07 14:34
38K
arc-gnome-20221218-r0.apk
2023-01-07 14:34
29K
arc-darker-xfwm-20221218-r0.apk
2023-01-07 14:34
7.9K
arc-darker-metacity-20221218-r0.apk
2023-01-07 14:34
17K
arc-darker-gtk4-20221218-r0.apk
2023-01-07 14:34
110K
arc-darker-gtk3-20221218-r0.apk
2023-01-07 14:34
124K
arc-darker-gtk2-20221218-r0.apk
2023-01-07 14:34
39K
arc-darker-20221218-r0.apk
2023-01-07 14:34
1.8K
arc-dark-xfwm-20221218-r0.apk
2023-01-07 14:34
7.9K
arc-dark-metacity-20221218-r0.apk
2023-01-07 14:34
17K
arc-dark-gtk4-20221218-r0.apk
2023-01-07 14:34
86K
arc-dark-gtk3-20221218-r0.apk
2023-01-07 14:34
93K
arc-dark-gtk2-20221218-r0.apk
2023-01-07 14:34
38K
arc-dark-gnome-20221218-r0.apk
2023-01-07 14:34
27K
arc-dark-cinnamon-20221218-r0.apk
2023-01-07 14:34
68K
arc-dark-20221218-r0.apk
2023-01-07 14:34
1.8K
arc-cinnamon-20221218-r0.apk
2023-01-07 14:34
68K
arc-20221218-r0.apk
2023-01-07 14:34
1.7K
aqemu-doc-0.9.4-r3.apk
2023-04-08 12:52
7.5K
aqemu-0.9.4-r3.apk
2023-04-08 12:52
1.5M
apulse-doc-0.1.13-r2.apk
2024-05-25 12:05
2.8K
apulse-0.1.13-r2.apk
2024-05-25 12:05
33K
aptdec-libs-1.8.0-r0.apk
2023-02-22 12:38
13K
aptdec-dev-1.8.0-r0.apk
2023-02-22 12:38
3.5K
aptdec-1.8.0-r0.apk
2023-02-22 12:38
84K
apt-mirror-doc-0.5.4-r0.apk
2023-01-05 23:23
4.6K
apt-mirror-0.5.4-r0.apk
2023-01-05 23:23
9.4K
apt-dater-lang-1.0.4-r3.apk
2023-04-29 19:30
13K
apt-dater-doc-1.0.4-r3.apk
2023-04-29 19:30
9.9K
apt-dater-1.0.4-r3.apk
2023-04-29 19:30
52K
apprise-pyc-1.7.6-r0.apk
2024-04-16 01:59
705K
apprise-doc-1.7.6-r0.apk
2024-04-16 01:59
5.1K
apprise-1.7.6-r0.apk
2024-04-16 01:59
970K
aports-glmr-0.2-r21.apk
2024-05-19 02:21
2.2M
apollo-openrc-0.2.1-r3.apk
2024-05-19 02:21
1.8K
apollo-doc-0.2.1-r3.apk
2024-05-19 02:21
19K
apollo-0.2.1-r3.apk
2024-05-19 02:21
3.6M
apmpkg-zsh-completion-1.5.1-r3.apk
2023-07-02 19:36
2.4K
apmpkg-fish-completion-1.5.1-r3.apk
2023-07-02 19:36
2.0K
apmpkg-doc-1.5.1-r3.apk
2023-07-02 19:36
3.0K
apmpkg-bash-completion-1.5.1-r3.apk
2023-07-02 19:36
2.2K
apmpkg-1.5.1-r3.apk
2023-07-02 19:36
1.4M
apk-tools3-static-3.0.0_pre2_git20240401-r1.apk
2024-05-20 01:22
1.8M
apk-tools3-libs-3.0.0_pre2_git20240401-r1.apk
2024-05-20 01:22
103K
apk-tools3-doc-3.0.0_pre2_git20240401-r1.apk
2024-05-20 01:22
33K
apk-tools3-dev-3.0.0_pre2_git20240401-r1.apk
2024-05-20 01:22
630K
apk-tools3-dbg-3.0.0_pre2_git20240401-r1.apk
2024-05-20 01:22
570K
apk-tools3-3.0.0_pre2_git20240401-r1.apk
2024-05-20 01:22
43K
apk-snap-doc-3.1.1-r0.apk
2024-01-22 16:55
20K
apk-snap-3.1.1-r0.apk
2024-01-22 16:55
6.6K
apk-readme-0.1-r1.apk
2020-07-23 01:58
1.3K
apk-autoupdate-doc-0_git20210421-r0.apk
2022-01-15 23:05
6.8K
apk-autoupdate-0_git20210421-r0.apk
2022-01-15 23:05
13K
apache2-mod-realdoc-1-r1.apk
2020-07-23 01:58
4.6K
apache2-mod-perl-doc-2.0.13-r0.apk
2023-10-22 14:58
303K
apache2-mod-perl-dev-2.0.13-r0.apk
2023-10-22 14:58
40K
apache2-mod-perl-dbg-2.0.13-r0.apk
2023-10-22 14:58
79K
apache2-mod-perl-2.0.13-r0.apk
2023-10-22 14:58
624K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2020-07-23 01:58
10K
apache2-mod-authnz-external-3.3.3-r0.apk
2020-07-23 01:58
6.9K
apache-mod-auth-openidc-static-2.4.15.7-r1.apk
2024-05-07 05:38
240K
apache-mod-auth-openidc-doc-2.4.15.7-r1.apk
2024-05-07 05:38
4.7K
apache-mod-auth-openidc-2.4.15.7-r1.apk
2024-05-07 05:38
191K
apache-mod-auth-gssapi-1.6.5-r1.apk
2023-05-15 18:03
51K
antimicrox-doc-3.4.0-r0.apk
2024-06-08 23:23
23K
antimicrox-3.4.0-r0.apk
2024-06-08 23:23
1.6M
antibody-6.1.1-r21.apk
2024-05-19 02:21
1.6M
ansiweather-doc-1.19.0-r1.apk
2023-07-29 21:36
3.0K
ansiweather-1.19.0-r1.apk
2023-07-29 21:36
4.7K
ansible-bender-pyc-0.10.1-r2.apk
2024-04-13 19:04
65K
ansible-bender-doc-0.10.1-r2.apk
2024-04-13 19:04
10K
ansible-bender-0.10.1-r2.apk
2024-04-13 19:04
36K
angband-4.2.5-r0.apk
2024-01-27 23:37
23M
android-translation-layer-dbg-0_git20240527-r0.apk
2024-05-28 13:36
610K
android-translation-layer-0_git20240527-r0.apk
2024-05-28 13:36
4.7M
android-file-transfer-libs-4.3-r0.apk
2023-12-29 00:11
114K
android-file-transfer-dev-4.3-r0.apk
2023-12-29 00:11
1.5K
android-file-transfer-cli-4.3-r0.apk
2023-12-29 00:11
98K
android-file-transfer-4.3-r0.apk
2023-12-29 00:11
174K
android-apkeep-0.16.0-r0.apk
2024-04-05 13:07
1.8M
anari-sdk-static-0.7.2-r0.apk
2023-11-04 02:43
193K
anari-sdk-dev-0.7.2-r0.apk
2023-11-04 02:43
59K
anari-sdk-0.7.2-r0.apk
2023-11-04 02:43
280K
anarch-doc-1.0-r1.apk
2022-08-20 13:15
18K
anarch-1.0-r1.apk
2022-08-20 13:15
90K
amule-lang-2.3.3-r13.apk
2024-04-22 19:49
1.6M
amule-doc-2.3.3-r13.apk
2024-04-22 19:49
281K
amule-2.3.3-r13.apk
2024-04-22 19:49
3.8M
ampy-pyc-1.1.0-r5.apk
2024-04-13 19:04
20K
ampy-doc-1.1.0-r5.apk
2024-04-13 19:04
3.4K
ampy-1.1.0-r5.apk
2024-04-13 19:04
16K
amiitool-2-r2.apk
2024-04-13 19:04
6.3K
amdgpu-fan-pyc-0.1.0-r4.apk
2024-04-13 19:04
9.7K
amdgpu-fan-0.1.0-r4.apk
2024-04-13 19:04
7.0K
alttab-doc-1.7.1-r0.apk
2023-06-15 13:46
10K
alttab-1.7.1-r0.apk
2023-06-15 13:46
35K
alps-openrc-0_git20230807-r4.apk
2024-05-19 02:21
2.0K
alps-0_git20230807-r4.apk
2024-05-19 02:21
5.0M
alpine-lift-0.2.0-r16.apk
2024-05-19 02:21
3.2M
alarmwakeup-utils-0.2.1-r0.apk
2023-10-06 07:48
3.7K
alarmwakeup-libs-0.2.1-r0.apk
2023-10-06 07:48
3.9K
alarmwakeup-dev-0.2.1-r0.apk
2023-10-06 07:48
2.5K
alarmwakeup-dbg-0.2.1-r0.apk
2023-10-06 07:48
19K
alarmwakeup-0.2.1-r0.apk
2023-10-06 07:48
6.3K
aide-doc-0.18.8-r0.apk
2024-05-10 17:20
14K
aide-0.18.8-r0.apk
2024-05-10 17:20
72K
agrep-doc-0.8.0-r2.apk
2023-05-15 18:03
4.1K
agrep-0.8.0-r2.apk
2023-05-15 18:03
7.8K
agg-dev-2.5-r3.apk
2023-05-15 18:03
201K
agg-2.5-r3.apk
2023-05-15 18:03
93K
agate-openrc-3.3.7-r0.apk
2024-04-16 01:59
2.0K
agate-3.3.7-r0.apk
2024-04-16 01:59
659K
afetch-doc-2.2.0-r1.apk
2022-10-25 01:23
14K
afetch-2.2.0-r1.apk
2022-10-25 01:23
9.2K
aero2solver-openrc-1.1.0-r0.apk
2024-05-16 19:54
2.1K
aero2solver-doc-1.1.0-r0.apk
2024-05-16 19:54
2.2K
aero2solver-1.1.0-r0.apk
2024-05-16 19:54
22M
advancescan-doc-1.18-r1.apk
2022-10-25 01:23
7.3K
advancescan-1.18-r1.apk
2022-10-25 01:23
223K
advancemame-mess-3.9-r4.apk
2023-03-15 18:01
3.6M
advancemame-menu-3.9-r4.apk
2023-03-15 18:01
876K
advancemame-doc-3.9-r4.apk
2023-03-15 18:01
374K
advancemame-data-3.9-r4.apk
2023-03-15 18:01
5.8M
advancemame-3.9-r4.apk
2023-03-15 18:01
12M
admesh-doc-0.98.5-r0.apk
2022-12-05 15:37
23K
admesh-dev-0.98.5-r0.apk
2022-12-05 15:37
4.0K
admesh-0.98.5-r0.apk
2022-12-05 15:37
24K
adjtimex-doc-1.29-r0.apk
2021-12-31 22:26
7.1K
adjtimex-1.29-r0.apk
2021-12-31 22:26
19K
acmetool-doc-0.2.2-r6.apk
2024-05-19 02:21
47K
acmetool-0.2.2-r6.apk
2024-05-19 02:21
4.0M
ace-of-penguins-doc-1.4-r2.apk
2022-03-01 11:21
48K
ace-of-penguins-1.4-r2.apk
2022-03-01 11:21
141K
abc-0_git20240102-r0.apk
2024-01-19 01:05
4.4M
a2jmidid-doc-9-r3.apk
2022-08-01 08:23
4.2K
a2jmidid-9-r3.apk
2022-08-01 08:23
25K
APKINDEX.tar.gz
2024-06-09 10:36
868K
3proxy-openrc-0.9.4-r0.apk
2023-09-18 07:37
1.7K
3proxy-doc-0.9.4-r0.apk
2023-09-18 07:37
25K
3proxy-0.9.4-r0.apk
2023-09-18 07:37
270K
Apache/2.4.58 (Unix) Server at cdimage.debian.org Port 80